WonderMadeleine is a Bandai 2001/2003 clone chip

Manoël Trapier 6ea62b614a Make the licence a bit more clear. 3 years ago
.gitignore b56cc305a9 Initial commit 9 years ago
EEPROM.vhd 68bec31f04 Split the address bus in top, and add stub for EEPROM, RTC and GPIO modules. 9 years ago
GPIO.vhd 68bec31f04 Split the address bus in top, and add stub for EEPROM, RTC and GPIO modules. 9 years ago
RTC.vhd 68bec31f04 Split the address bus in top, and add stub for EEPROM, RTC and GPIO modules. 9 years ago
WonderMadeleine.qpf b56cc305a9 Initial commit 9 years ago
WonderMadeleine.qsf 5ae80d0bf3 Correct a small mistake in QSF file 9 years ago
WonderMadeleine.qws 68bec31f04 Split the address bus in top, and add stub for EEPROM, RTC and GPIO modules. 9 years ago
WonderMadeleine.vhd 9a17381e28 Comment unused modules. 7 years ago
license.txt b56cc305a9 Initial commit 9 years ago
readme.md 6ea62b614a Make the licence a bit more clear. 3 years ago

readme.md

The WonderProject: WonderMadeleine

© 2014 986-Studio / Godzil

Website: http://www.986-studio.com e-mail: (remove the underscore nospambot of course)

What this project is about:

This is a VHDL implementation of the Bandai 2001 / 2003 chip found in all official WonderSwan Cartridge. It will ultimately provide a fully functional clone of the Bandai chip.

License

This project is currently licensed under the something close to the CC BY-NC-ND:

  • What does that mean:
    • The BY is the same as the CC:
    • You have to give credits if you are using this code in a chip on your board,
    • The NC differ from the CC:
    • You can use this code to program your own CPLD/FPGA
    • You cannot sell directly this code or a chip using this code, but work using it is fine:
    • You can build your own cartridge that use this CPLD/FPGA (and you can even sell them!)
    • But you can’t program CPLD and sell them directly
    • The ND also differ:
    • You are welcome to propose patch for supporting another CPLD/FPGA or correct bugs, add functionality (you can create fork on GitHub for this is not a problème)
    • But changes in the code to integrate into a more complex COLD/FPGA project is not authorised.

If you have any doubt, please contact me I will be happy to help you

What is currently working: (as of 13 november 2014)

  • - ROM Banking
  • - SRAM Banking
  • - WonderSwan boot unlock
  • - EEPROM
  • - RTC
  • - GPIO
  • - All other unknown parts