sysfs-module 1.7 KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455
  1. What: /sys/module/pch_phub/drivers/.../pch_mac
  2. Date: August 2010
  3. KernelVersion: 2.6.35
  4. Contact: masa-korg@dsn.okisemi.com
  5. Description: Write/read GbE MAC address.
  6. What: /sys/module/pch_phub/drivers/.../pch_firmware
  7. Date: August 2010
  8. KernelVersion: 2.6.35
  9. Contact: masa-korg@dsn.okisemi.com
  10. Description: Write/read Option ROM data.
  11. What: /sys/module/ehci_hcd/drivers/.../uframe_periodic_max
  12. Date: July 2011
  13. KernelVersion: 3.1
  14. Contact: Kirill Smelkov <kirr@mns.spb.ru>
  15. Description: Maximum time allowed for periodic transfers per microframe (μs)
  16. Note:
  17. USB 2.0 sets maximum allowed time for periodic transfers per
  18. microframe to be 80%, that is 100 microseconds out of 125
  19. microseconds (full microframe).
  20. However there are cases, when 80% max isochronous bandwidth is
  21. too limiting. For example two video streams could require 110
  22. microseconds of isochronous bandwidth per microframe to work
  23. together.
  24. Through this setting it is possible to raise the limit so that
  25. the host controller would allow allocating more than 100
  26. microseconds of periodic bandwidth per microframe.
  27. Beware, non-standard modes are usually not thoroughly tested by
  28. hardware designers, and the hardware can malfunction when this
  29. setting differ from default 100.
  30. What: /sys/module/*/{coresize,initsize}
  31. Date: Jan 2012
  32. KernelVersion:»·3.3
  33. Contact: Kay Sievers <kay.sievers@vrfy.org>
  34. Description: Module size in bytes.
  35. What: /sys/module/*/taint
  36. Date: Jan 2012
  37. KernelVersion:»·3.3
  38. Contact: Kay Sievers <kay.sievers@vrfy.org>
  39. Description: Module taint flags:
  40. == =====================
  41. P proprietary module
  42. O out-of-tree module
  43. F force-loaded module
  44. C staging driver module
  45. E unsigned module
  46. == =====================