pfc-r8a77951.c 203 KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061626364656667686970717273747576777879808182838485868788899091929394959697989910010110210310410510610710810911011111211311411511611711811912012112212312412512612712812913013113213313413513613713813914014114214314414514614714814915015115215315415515615715815916016116216316416516616716816917017117217317417517617717817918018118218318418518618718818919019119219319419519619719819920020120220320420520620720820921021121221321421521621721821922022122222322422522622722822923023123223323423523623723823924024124224324424524624724824925025125225325425525625725825926026126226326426526626726826927027127227327427527627727827928028128228328428528628728828929029129229329429529629729829930030130230330430530630730830931031131231331431531631731831932032132232332432532632732832933033133233333433533633733833934034134234334434534634734834935035135235335435535635735835936036136236336436536636736836937037137237337437537637737837938038138238338438538638738838939039139239339439539639739839940040140240340440540640740840941041141241341441541641741841942042142242342442542642742842943043143243343443543643743843944044144244344444544644744844945045145245345445545645745845946046146246346446546646746846947047147247347447547647747847948048148248348448548648748848949049149249349449549649749849950050150250350450550650750850951051151251351451551651751851952052152252352452552652752852953053153253353453553653753853954054154254354454554654754854955055155255355455555655755855956056156256356456556656756856957057157257357457557657757857958058158258358458558658758858959059159259359459559659759859960060160260360460560660760860961061161261361461561661761861962062162262362462562662762862963063163263363463563663763863964064164264364464564664764864965065165265365465565665765865966066166266366466566666766866967067167267367467567667767867968068168268368468568668768868969069169269369469569669769869970070170270370470570670770870971071171271371471571671771871972072172272372472572672772872973073173273373473573673773873974074174274374474574674774874975075175275375475575675775875976076176276376476576676776876977077177277377477577677777877978078178278378478578678778878979079179279379479579679779879980080180280380480580680780880981081181281381481581681781881982082182282382482582682782882983083183283383483583683783883984084184284384484584684784884985085185285385485585685785885986086186286386486586686786886987087187287387487587687787887988088188288388488588688788888989089189289389489589689789889990090190290390490590690790890991091191291391491591691791891992092192292392492592692792892993093193293393493593693793893994094194294394494594694794894995095195295395495595695795895996096196296396496596696796896997097197297397497597697797897998098198298398498598698798898999099199299399499599699799899910001001100210031004100510061007100810091010101110121013101410151016101710181019102010211022102310241025102610271028102910301031103210331034103510361037103810391040104110421043104410451046104710481049105010511052105310541055105610571058105910601061106210631064106510661067106810691070107110721073107410751076107710781079108010811082108310841085108610871088108910901091109210931094109510961097109810991100110111021103110411051106110711081109111011111112111311141115111611171118111911201121112211231124112511261127112811291130113111321133113411351136113711381139114011411142114311441145114611471148114911501151115211531154115511561157115811591160116111621163116411651166116711681169117011711172117311741175117611771178117911801181118211831184118511861187118811891190119111921193119411951196119711981199120012011202120312041205120612071208120912101211121212131214121512161217121812191220122112221223122412251226122712281229123012311232123312341235123612371238123912401241124212431244124512461247124812491250125112521253125412551256125712581259126012611262126312641265126612671268126912701271127212731274127512761277127812791280128112821283128412851286128712881289129012911292129312941295129612971298129913001301130213031304130513061307130813091310131113121313131413151316131713181319132013211322132313241325132613271328132913301331133213331334133513361337133813391340134113421343134413451346134713481349135013511352135313541355135613571358135913601361136213631364136513661367136813691370137113721373137413751376137713781379138013811382138313841385138613871388138913901391139213931394139513961397139813991400140114021403140414051406140714081409141014111412141314141415141614171418141914201421142214231424142514261427142814291430143114321433143414351436143714381439144014411442144314441445144614471448144914501451145214531454145514561457145814591460146114621463146414651466146714681469147014711472147314741475147614771478147914801481148214831484148514861487148814891490149114921493149414951496149714981499150015011502150315041505150615071508150915101511151215131514151515161517151815191520152115221523152415251526152715281529153015311532153315341535153615371538153915401541154215431544154515461547154815491550155115521553155415551556155715581559156015611562156315641565156615671568156915701571157215731574157515761577157815791580158115821583158415851586158715881589159015911592159315941595159615971598159916001601160216031604160516061607160816091610161116121613161416151616161716181619162016211622162316241625162616271628162916301631163216331634163516361637163816391640164116421643164416451646164716481649165016511652165316541655165616571658165916601661166216631664166516661667166816691670167116721673167416751676167716781679168016811682168316841685168616871688168916901691169216931694169516961697169816991700170117021703170417051706170717081709171017111712171317141715171617171718171917201721172217231724172517261727172817291730173117321733173417351736173717381739174017411742174317441745174617471748174917501751175217531754175517561757175817591760176117621763176417651766176717681769177017711772177317741775177617771778177917801781178217831784178517861787178817891790179117921793179417951796179717981799180018011802180318041805180618071808180918101811181218131814181518161817181818191820182118221823182418251826182718281829183018311832183318341835183618371838183918401841184218431844184518461847184818491850185118521853185418551856185718581859186018611862186318641865186618671868186918701871187218731874187518761877187818791880188118821883188418851886188718881889189018911892189318941895189618971898189919001901190219031904190519061907190819091910191119121913191419151916191719181919192019211922192319241925192619271928192919301931193219331934193519361937193819391940194119421943194419451946194719481949195019511952195319541955195619571958195919601961196219631964196519661967196819691970197119721973197419751976197719781979198019811982198319841985198619871988198919901991199219931994199519961997199819992000200120022003200420052006200720082009201020112012201320142015201620172018201920202021202220232024202520262027202820292030203120322033203420352036203720382039204020412042204320442045204620472048204920502051205220532054205520562057205820592060206120622063206420652066206720682069207020712072207320742075207620772078207920802081208220832084208520862087208820892090209120922093209420952096209720982099210021012102210321042105210621072108210921102111211221132114211521162117211821192120212121222123212421252126212721282129213021312132213321342135213621372138213921402141214221432144214521462147214821492150215121522153215421552156215721582159216021612162216321642165216621672168216921702171217221732174217521762177217821792180218121822183218421852186218721882189219021912192219321942195219621972198219922002201220222032204220522062207220822092210221122122213221422152216221722182219222022212222222322242225222622272228222922302231223222332234223522362237223822392240224122422243224422452246224722482249225022512252225322542255225622572258225922602261226222632264226522662267226822692270227122722273227422752276227722782279228022812282228322842285228622872288228922902291229222932294229522962297229822992300230123022303230423052306230723082309231023112312231323142315231623172318231923202321232223232324232523262327232823292330233123322333233423352336233723382339234023412342234323442345234623472348234923502351235223532354235523562357235823592360236123622363236423652366236723682369237023712372237323742375237623772378237923802381238223832384238523862387238823892390239123922393239423952396239723982399240024012402240324042405240624072408240924102411241224132414241524162417241824192420242124222423242424252426242724282429243024312432243324342435243624372438243924402441244224432444244524462447244824492450245124522453245424552456245724582459246024612462246324642465246624672468246924702471247224732474247524762477247824792480248124822483248424852486248724882489249024912492249324942495249624972498249925002501250225032504250525062507250825092510251125122513251425152516251725182519252025212522252325242525252625272528252925302531253225332534253525362537253825392540254125422543254425452546254725482549255025512552255325542555255625572558255925602561256225632564256525662567256825692570257125722573257425752576257725782579258025812582258325842585258625872588258925902591259225932594259525962597259825992600260126022603260426052606260726082609261026112612261326142615261626172618261926202621262226232624262526262627262826292630263126322633263426352636263726382639264026412642264326442645264626472648264926502651265226532654265526562657265826592660266126622663266426652666266726682669267026712672267326742675267626772678267926802681268226832684268526862687268826892690269126922693269426952696269726982699270027012702270327042705270627072708270927102711271227132714271527162717271827192720272127222723272427252726272727282729273027312732273327342735273627372738273927402741274227432744274527462747274827492750275127522753275427552756275727582759276027612762276327642765276627672768276927702771277227732774277527762777277827792780278127822783278427852786278727882789279027912792279327942795279627972798279928002801280228032804280528062807280828092810281128122813281428152816281728182819282028212822282328242825282628272828282928302831283228332834283528362837283828392840284128422843284428452846284728482849285028512852285328542855285628572858285928602861286228632864286528662867286828692870287128722873287428752876287728782879288028812882288328842885288628872888288928902891289228932894289528962897289828992900290129022903290429052906290729082909291029112912291329142915291629172918291929202921292229232924292529262927292829292930293129322933293429352936293729382939294029412942294329442945294629472948294929502951295229532954295529562957295829592960296129622963296429652966296729682969297029712972297329742975297629772978297929802981298229832984298529862987298829892990299129922993299429952996299729982999300030013002300330043005300630073008300930103011301230133014301530163017301830193020302130223023302430253026302730283029303030313032303330343035303630373038303930403041304230433044304530463047304830493050305130523053305430553056305730583059306030613062306330643065306630673068306930703071307230733074307530763077307830793080308130823083308430853086308730883089309030913092309330943095309630973098309931003101310231033104310531063107310831093110311131123113311431153116311731183119312031213122312331243125312631273128312931303131313231333134313531363137313831393140314131423143314431453146314731483149315031513152315331543155315631573158315931603161316231633164316531663167316831693170317131723173317431753176317731783179318031813182318331843185318631873188318931903191319231933194319531963197319831993200320132023203320432053206320732083209321032113212321332143215321632173218321932203221322232233224322532263227322832293230323132323233323432353236323732383239324032413242324332443245324632473248324932503251325232533254325532563257325832593260326132623263326432653266326732683269327032713272327332743275327632773278327932803281328232833284328532863287328832893290329132923293329432953296329732983299330033013302330333043305330633073308330933103311331233133314331533163317331833193320332133223323332433253326332733283329333033313332333333343335333633373338333933403341334233433344334533463347334833493350335133523353335433553356335733583359336033613362336333643365336633673368336933703371337233733374337533763377337833793380338133823383338433853386338733883389339033913392339333943395339633973398339934003401340234033404340534063407340834093410341134123413341434153416341734183419342034213422342334243425342634273428342934303431343234333434343534363437343834393440344134423443344434453446344734483449345034513452345334543455345634573458345934603461346234633464346534663467346834693470347134723473347434753476347734783479348034813482348334843485348634873488348934903491349234933494349534963497349834993500350135023503350435053506350735083509351035113512351335143515351635173518351935203521352235233524352535263527352835293530353135323533353435353536353735383539354035413542354335443545354635473548354935503551355235533554355535563557355835593560356135623563356435653566356735683569357035713572357335743575357635773578357935803581358235833584358535863587358835893590359135923593359435953596359735983599360036013602360336043605360636073608360936103611361236133614361536163617361836193620362136223623362436253626362736283629363036313632363336343635363636373638363936403641364236433644364536463647364836493650365136523653365436553656365736583659366036613662366336643665366636673668366936703671367236733674367536763677367836793680368136823683368436853686368736883689369036913692369336943695369636973698369937003701370237033704370537063707370837093710371137123713371437153716371737183719372037213722372337243725372637273728372937303731373237333734373537363737373837393740374137423743374437453746374737483749375037513752375337543755375637573758375937603761376237633764376537663767376837693770377137723773377437753776377737783779378037813782378337843785378637873788378937903791379237933794379537963797379837993800380138023803380438053806380738083809381038113812381338143815381638173818381938203821382238233824382538263827382838293830383138323833383438353836383738383839384038413842384338443845384638473848384938503851385238533854385538563857385838593860386138623863386438653866386738683869387038713872387338743875387638773878387938803881388238833884388538863887388838893890389138923893389438953896389738983899390039013902390339043905390639073908390939103911391239133914391539163917391839193920392139223923392439253926392739283929393039313932393339343935393639373938393939403941394239433944394539463947394839493950395139523953395439553956395739583959396039613962396339643965396639673968396939703971397239733974397539763977397839793980398139823983398439853986398739883989399039913992399339943995399639973998399940004001400240034004400540064007400840094010401140124013401440154016401740184019402040214022402340244025402640274028402940304031403240334034403540364037403840394040404140424043404440454046404740484049405040514052405340544055405640574058405940604061406240634064406540664067406840694070407140724073407440754076407740784079408040814082408340844085408640874088408940904091409240934094409540964097409840994100410141024103410441054106410741084109411041114112411341144115411641174118411941204121412241234124412541264127412841294130413141324133413441354136413741384139414041414142414341444145414641474148414941504151415241534154415541564157415841594160416141624163416441654166416741684169417041714172417341744175417641774178417941804181418241834184418541864187418841894190419141924193419441954196419741984199420042014202420342044205420642074208420942104211421242134214421542164217421842194220422142224223422442254226422742284229423042314232423342344235423642374238423942404241424242434244424542464247424842494250425142524253425442554256425742584259426042614262426342644265426642674268426942704271427242734274427542764277427842794280428142824283428442854286428742884289429042914292429342944295429642974298429943004301430243034304430543064307430843094310431143124313431443154316431743184319432043214322432343244325432643274328432943304331433243334334433543364337433843394340434143424343434443454346434743484349435043514352435343544355435643574358435943604361436243634364436543664367436843694370437143724373437443754376437743784379438043814382438343844385438643874388438943904391439243934394439543964397439843994400440144024403440444054406440744084409441044114412441344144415441644174418441944204421442244234424442544264427442844294430443144324433443444354436443744384439444044414442444344444445444644474448444944504451445244534454445544564457445844594460446144624463446444654466446744684469447044714472447344744475447644774478447944804481448244834484448544864487448844894490449144924493449444954496449744984499450045014502450345044505450645074508450945104511451245134514451545164517451845194520452145224523452445254526452745284529453045314532453345344535453645374538453945404541454245434544454545464547454845494550455145524553455445554556455745584559456045614562456345644565456645674568456945704571457245734574457545764577457845794580458145824583458445854586458745884589459045914592459345944595459645974598459946004601460246034604460546064607460846094610461146124613461446154616461746184619462046214622462346244625462646274628462946304631463246334634463546364637463846394640464146424643464446454646464746484649465046514652465346544655465646574658465946604661466246634664466546664667466846694670467146724673467446754676467746784679468046814682468346844685468646874688468946904691469246934694469546964697469846994700470147024703470447054706470747084709471047114712471347144715471647174718471947204721472247234724472547264727472847294730473147324733473447354736473747384739474047414742474347444745474647474748474947504751475247534754475547564757475847594760476147624763476447654766476747684769477047714772477347744775477647774778477947804781478247834784478547864787478847894790479147924793479447954796479747984799480048014802480348044805480648074808480948104811481248134814481548164817481848194820482148224823482448254826482748284829483048314832483348344835483648374838483948404841484248434844484548464847484848494850485148524853485448554856485748584859486048614862486348644865486648674868486948704871487248734874487548764877487848794880488148824883488448854886488748884889489048914892489348944895489648974898489949004901490249034904490549064907490849094910491149124913491449154916491749184919492049214922492349244925492649274928492949304931493249334934493549364937493849394940494149424943494449454946494749484949495049514952495349544955495649574958495949604961496249634964496549664967496849694970497149724973497449754976497749784979498049814982498349844985498649874988498949904991499249934994499549964997499849995000500150025003500450055006500750085009501050115012501350145015501650175018501950205021502250235024502550265027502850295030503150325033503450355036503750385039504050415042504350445045504650475048504950505051505250535054505550565057505850595060506150625063506450655066506750685069507050715072507350745075507650775078507950805081508250835084508550865087508850895090509150925093509450955096509750985099510051015102510351045105510651075108510951105111511251135114511551165117511851195120512151225123512451255126512751285129513051315132513351345135513651375138513951405141514251435144514551465147514851495150515151525153515451555156515751585159516051615162516351645165516651675168516951705171517251735174517551765177517851795180518151825183518451855186518751885189519051915192519351945195519651975198519952005201520252035204520552065207520852095210521152125213521452155216521752185219522052215222522352245225522652275228522952305231523252335234523552365237523852395240524152425243524452455246524752485249525052515252525352545255525652575258525952605261526252635264526552665267526852695270527152725273527452755276527752785279528052815282528352845285528652875288528952905291529252935294529552965297529852995300530153025303530453055306530753085309531053115312531353145315531653175318531953205321532253235324532553265327532853295330533153325333533453355336533753385339534053415342534353445345534653475348534953505351535253535354535553565357535853595360536153625363536453655366536753685369537053715372537353745375537653775378537953805381538253835384538553865387538853895390539153925393539453955396539753985399540054015402540354045405540654075408540954105411541254135414541554165417541854195420542154225423542454255426542754285429543054315432543354345435543654375438543954405441544254435444544554465447544854495450545154525453545454555456545754585459546054615462546354645465546654675468546954705471547254735474547554765477547854795480548154825483548454855486548754885489549054915492549354945495549654975498549955005501550255035504550555065507550855095510551155125513551455155516551755185519552055215522552355245525552655275528552955305531553255335534553555365537553855395540554155425543554455455546554755485549555055515552555355545555555655575558555955605561556255635564556555665567556855695570557155725573557455755576557755785579558055815582558355845585558655875588558955905591559255935594559555965597559855995600560156025603560456055606560756085609561056115612561356145615561656175618561956205621562256235624562556265627562856295630563156325633563456355636563756385639564056415642564356445645564656475648564956505651565256535654565556565657565856595660566156625663566456655666566756685669567056715672567356745675567656775678567956805681568256835684568556865687568856895690569156925693569456955696569756985699570057015702570357045705570657075708570957105711571257135714571557165717571857195720572157225723572457255726572757285729573057315732573357345735573657375738573957405741574257435744574557465747574857495750575157525753575457555756575757585759576057615762576357645765576657675768576957705771577257735774577557765777577857795780578157825783578457855786578757885789579057915792579357945795579657975798579958005801580258035804580558065807580858095810581158125813581458155816581758185819582058215822582358245825582658275828582958305831583258335834583558365837583858395840584158425843584458455846584758485849585058515852585358545855585658575858585958605861586258635864586558665867586858695870587158725873587458755876587758785879588058815882588358845885588658875888588958905891589258935894589558965897589858995900590159025903590459055906590759085909591059115912591359145915591659175918591959205921592259235924592559265927592859295930593159325933593459355936593759385939594059415942594359445945594659475948594959505951595259535954595559565957595859595960596159625963596459655966596759685969597059715972597359745975597659775978597959805981598259835984598559865987598859895990599159925993599459955996599759985999600060016002600360046005600660076008600960106011601260136014601560166017601860196020602160226023602460256026602760286029603060316032603360346035603660376038603960406041604260436044604560466047604860496050605160526053605460556056605760586059606060616062606360646065606660676068606960706071607260736074607560766077607860796080608160826083608460856086608760886089609060916092609360946095609660976098609961006101610261036104610561066107610861096110611161126113611461156116611761186119612061216122612361246125612661276128612961306131613261336134613561366137613861396140614161426143614461456146614761486149615061516152615361546155615661576158615961606161616261636164616561666167616861696170617161726173617461756176617761786179618061816182618361846185618661876188618961906191619261936194619561966197619861996200620162026203620462056206620762086209621062116212621362146215621662176218621962206221622262236224622562266227622862296230623162326233623462356236623762386239624062416242624362446245624662476248624962506251625262536254625562566257625862596260626162626263626462656266626762686269627062716272627362746275627662776278627962806281628262836284628562866287628862896290
  1. // SPDX-License-Identifier: GPL-2.0
  2. /*
  3. * R8A77951 processor support - PFC hardware block.
  4. *
  5. * Copyright (C) 2015-2019 Renesas Electronics Corporation
  6. */
  7. #include <linux/errno.h>
  8. #include <linux/kernel.h>
  9. #include <linux/sys_soc.h>
  10. #include "core.h"
  11. #include "sh_pfc.h"
  12. #define CFG_FLAGS (SH_PFC_PIN_CFG_DRIVE_STRENGTH | SH_PFC_PIN_CFG_PULL_UP_DOWN)
  13. #define CPU_ALL_GP(fn, sfx) \
  14. PORT_GP_CFG_16(0, fn, sfx, CFG_FLAGS), \
  15. PORT_GP_CFG_29(1, fn, sfx, CFG_FLAGS), \
  16. PORT_GP_CFG_15(2, fn, sfx, CFG_FLAGS), \
  17. PORT_GP_CFG_12(3, fn, sfx, CFG_FLAGS | SH_PFC_PIN_CFG_IO_VOLTAGE), \
  18. PORT_GP_CFG_1(3, 12, fn, sfx, CFG_FLAGS), \
  19. PORT_GP_CFG_1(3, 13, fn, sfx, CFG_FLAGS), \
  20. PORT_GP_CFG_1(3, 14, fn, sfx, CFG_FLAGS), \
  21. PORT_GP_CFG_1(3, 15, fn, sfx, CFG_FLAGS), \
  22. PORT_GP_CFG_18(4, fn, sfx, CFG_FLAGS | SH_PFC_PIN_CFG_IO_VOLTAGE), \
  23. PORT_GP_CFG_26(5, fn, sfx, CFG_FLAGS), \
  24. PORT_GP_CFG_32(6, fn, sfx, CFG_FLAGS), \
  25. PORT_GP_CFG_4(7, fn, sfx, CFG_FLAGS)
  26. #define CPU_ALL_NOGP(fn) \
  27. PIN_NOGP_CFG(ASEBRK, "ASEBRK", fn, CFG_FLAGS), \
  28. PIN_NOGP_CFG(AVB_MDIO, "AVB_MDIO", fn, CFG_FLAGS), \
  29. PIN_NOGP_CFG(AVB_RD0, "AVB_RD0", fn, CFG_FLAGS), \
  30. PIN_NOGP_CFG(AVB_RD1, "AVB_RD1", fn, CFG_FLAGS), \
  31. PIN_NOGP_CFG(AVB_RD2, "AVB_RD2", fn, CFG_FLAGS), \
  32. PIN_NOGP_CFG(AVB_RD3, "AVB_RD3", fn, CFG_FLAGS), \
  33. PIN_NOGP_CFG(AVB_RXC, "AVB_RXC", fn, CFG_FLAGS), \
  34. PIN_NOGP_CFG(AVB_RX_CTL, "AVB_RX_CTL", fn, CFG_FLAGS), \
  35. PIN_NOGP_CFG(AVB_TD0, "AVB_TD0", fn, CFG_FLAGS), \
  36. PIN_NOGP_CFG(AVB_TD1, "AVB_TD1", fn, CFG_FLAGS), \
  37. PIN_NOGP_CFG(AVB_TD2, "AVB_TD2", fn, CFG_FLAGS), \
  38. PIN_NOGP_CFG(AVB_TD3, "AVB_TD3", fn, CFG_FLAGS), \
  39. PIN_NOGP_CFG(AVB_TXC, "AVB_TXC", fn, CFG_FLAGS), \
  40. PIN_NOGP_CFG(AVB_TXCREFCLK, "AVB_TXCREFCLK", fn, CFG_FLAGS), \
  41. PIN_NOGP_CFG(AVB_TX_CTL, "AVB_TX_CTL", fn, CFG_FLAGS), \
  42. PIN_NOGP_CFG(DU_DOTCLKIN0, "DU_DOTCLKIN0", fn, CFG_FLAGS), \
  43. PIN_NOGP_CFG(DU_DOTCLKIN1, "DU_DOTCLKIN1", fn, CFG_FLAGS), \
  44. PIN_NOGP_CFG(DU_DOTCLKIN2, "DU_DOTCLKIN2", fn, CFG_FLAGS), \
  45. PIN_NOGP_CFG(DU_DOTCLKIN3, "DU_DOTCLKIN3", fn, CFG_FLAGS), \
  46. PIN_NOGP_CFG(EXTALR, "EXTALR", fn, SH_PFC_PIN_CFG_PULL_UP_DOWN),\
  47. PIN_NOGP_CFG(FSCLKST_N, "FSCLKST#", fn, CFG_FLAGS), \
  48. PIN_NOGP_CFG(MLB_REF, "MLB_REF", fn, CFG_FLAGS), \
  49. PIN_NOGP_CFG(PRESETOUT_N, "PRESETOUT#", fn, CFG_FLAGS), \
  50. PIN_NOGP_CFG(QSPI0_IO2, "QSPI0_IO2", fn, CFG_FLAGS), \
  51. PIN_NOGP_CFG(QSPI0_IO3, "QSPI0_IO3", fn, CFG_FLAGS), \
  52. PIN_NOGP_CFG(QSPI0_MISO_IO1, "QSPI0_MISO_IO1", fn, CFG_FLAGS), \
  53. PIN_NOGP_CFG(QSPI0_MOSI_IO0, "QSPI0_MOSI_IO0", fn, CFG_FLAGS), \
  54. PIN_NOGP_CFG(QSPI0_SPCLK, "QSPI0_SPCLK", fn, CFG_FLAGS), \
  55. PIN_NOGP_CFG(QSPI0_SSL, "QSPI0_SSL", fn, CFG_FLAGS), \
  56. PIN_NOGP_CFG(QSPI1_IO2, "QSPI1_IO2", fn, CFG_FLAGS), \
  57. PIN_NOGP_CFG(QSPI1_IO3, "QSPI1_IO3", fn, CFG_FLAGS), \
  58. PIN_NOGP_CFG(QSPI1_MISO_IO1, "QSPI1_MISO_IO1", fn, CFG_FLAGS), \
  59. PIN_NOGP_CFG(QSPI1_MOSI_IO0, "QSPI1_MOSI_IO0", fn, CFG_FLAGS), \
  60. PIN_NOGP_CFG(QSPI1_SPCLK, "QSPI1_SPCLK", fn, CFG_FLAGS), \
  61. PIN_NOGP_CFG(QSPI1_SSL, "QSPI1_SSL", fn, CFG_FLAGS), \
  62. PIN_NOGP_CFG(RPC_INT_N, "RPC_INT#", fn, CFG_FLAGS), \
  63. PIN_NOGP_CFG(RPC_RESET_N, "RPC_RESET#", fn, CFG_FLAGS), \
  64. PIN_NOGP_CFG(RPC_WP_N, "RPC_WP#", fn, CFG_FLAGS), \
  65. PIN_NOGP_CFG(TCK, "TCK", fn, SH_PFC_PIN_CFG_PULL_UP_DOWN), \
  66. PIN_NOGP_CFG(TDI, "TDI", fn, SH_PFC_PIN_CFG_PULL_UP_DOWN), \
  67. PIN_NOGP_CFG(TDO, "TDO", fn, SH_PFC_PIN_CFG_DRIVE_STRENGTH), \
  68. PIN_NOGP_CFG(TMS, "TMS", fn, CFG_FLAGS), \
  69. PIN_NOGP_CFG(TRST_N, "TRST#", fn, SH_PFC_PIN_CFG_PULL_UP_DOWN)
  70. /*
  71. * F_() : just information
  72. * FM() : macro for FN_xxx / xxx_MARK
  73. */
  74. /* GPSR0 */
  75. #define GPSR0_15 F_(D15, IP7_11_8)
  76. #define GPSR0_14 F_(D14, IP7_7_4)
  77. #define GPSR0_13 F_(D13, IP7_3_0)
  78. #define GPSR0_12 F_(D12, IP6_31_28)
  79. #define GPSR0_11 F_(D11, IP6_27_24)
  80. #define GPSR0_10 F_(D10, IP6_23_20)
  81. #define GPSR0_9 F_(D9, IP6_19_16)
  82. #define GPSR0_8 F_(D8, IP6_15_12)
  83. #define GPSR0_7 F_(D7, IP6_11_8)
  84. #define GPSR0_6 F_(D6, IP6_7_4)
  85. #define GPSR0_5 F_(D5, IP6_3_0)
  86. #define GPSR0_4 F_(D4, IP5_31_28)
  87. #define GPSR0_3 F_(D3, IP5_27_24)
  88. #define GPSR0_2 F_(D2, IP5_23_20)
  89. #define GPSR0_1 F_(D1, IP5_19_16)
  90. #define GPSR0_0 F_(D0, IP5_15_12)
  91. /* GPSR1 */
  92. #define GPSR1_28 FM(CLKOUT)
  93. #define GPSR1_27 F_(EX_WAIT0_A, IP5_11_8)
  94. #define GPSR1_26 F_(WE1_N, IP5_7_4)
  95. #define GPSR1_25 F_(WE0_N, IP5_3_0)
  96. #define GPSR1_24 F_(RD_WR_N, IP4_31_28)
  97. #define GPSR1_23 F_(RD_N, IP4_27_24)
  98. #define GPSR1_22 F_(BS_N, IP4_23_20)
  99. #define GPSR1_21 F_(CS1_N, IP4_19_16)
  100. #define GPSR1_20 F_(CS0_N, IP4_15_12)
  101. #define GPSR1_19 F_(A19, IP4_11_8)
  102. #define GPSR1_18 F_(A18, IP4_7_4)
  103. #define GPSR1_17 F_(A17, IP4_3_0)
  104. #define GPSR1_16 F_(A16, IP3_31_28)
  105. #define GPSR1_15 F_(A15, IP3_27_24)
  106. #define GPSR1_14 F_(A14, IP3_23_20)
  107. #define GPSR1_13 F_(A13, IP3_19_16)
  108. #define GPSR1_12 F_(A12, IP3_15_12)
  109. #define GPSR1_11 F_(A11, IP3_11_8)
  110. #define GPSR1_10 F_(A10, IP3_7_4)
  111. #define GPSR1_9 F_(A9, IP3_3_0)
  112. #define GPSR1_8 F_(A8, IP2_31_28)
  113. #define GPSR1_7 F_(A7, IP2_27_24)
  114. #define GPSR1_6 F_(A6, IP2_23_20)
  115. #define GPSR1_5 F_(A5, IP2_19_16)
  116. #define GPSR1_4 F_(A4, IP2_15_12)
  117. #define GPSR1_3 F_(A3, IP2_11_8)
  118. #define GPSR1_2 F_(A2, IP2_7_4)
  119. #define GPSR1_1 F_(A1, IP2_3_0)
  120. #define GPSR1_0 F_(A0, IP1_31_28)
  121. /* GPSR2 */
  122. #define GPSR2_14 F_(AVB_AVTP_CAPTURE_A, IP0_23_20)
  123. #define GPSR2_13 F_(AVB_AVTP_MATCH_A, IP0_19_16)
  124. #define GPSR2_12 F_(AVB_LINK, IP0_15_12)
  125. #define GPSR2_11 F_(AVB_PHY_INT, IP0_11_8)
  126. #define GPSR2_10 F_(AVB_MAGIC, IP0_7_4)
  127. #define GPSR2_9 F_(AVB_MDC, IP0_3_0)
  128. #define GPSR2_8 F_(PWM2_A, IP1_27_24)
  129. #define GPSR2_7 F_(PWM1_A, IP1_23_20)
  130. #define GPSR2_6 F_(PWM0, IP1_19_16)
  131. #define GPSR2_5 F_(IRQ5, IP1_15_12)
  132. #define GPSR2_4 F_(IRQ4, IP1_11_8)
  133. #define GPSR2_3 F_(IRQ3, IP1_7_4)
  134. #define GPSR2_2 F_(IRQ2, IP1_3_0)
  135. #define GPSR2_1 F_(IRQ1, IP0_31_28)
  136. #define GPSR2_0 F_(IRQ0, IP0_27_24)
  137. /* GPSR3 */
  138. #define GPSR3_15 F_(SD1_WP, IP11_23_20)
  139. #define GPSR3_14 F_(SD1_CD, IP11_19_16)
  140. #define GPSR3_13 F_(SD0_WP, IP11_15_12)
  141. #define GPSR3_12 F_(SD0_CD, IP11_11_8)
  142. #define GPSR3_11 F_(SD1_DAT3, IP8_31_28)
  143. #define GPSR3_10 F_(SD1_DAT2, IP8_27_24)
  144. #define GPSR3_9 F_(SD1_DAT1, IP8_23_20)
  145. #define GPSR3_8 F_(SD1_DAT0, IP8_19_16)
  146. #define GPSR3_7 F_(SD1_CMD, IP8_15_12)
  147. #define GPSR3_6 F_(SD1_CLK, IP8_11_8)
  148. #define GPSR3_5 F_(SD0_DAT3, IP8_7_4)
  149. #define GPSR3_4 F_(SD0_DAT2, IP8_3_0)
  150. #define GPSR3_3 F_(SD0_DAT1, IP7_31_28)
  151. #define GPSR3_2 F_(SD0_DAT0, IP7_27_24)
  152. #define GPSR3_1 F_(SD0_CMD, IP7_23_20)
  153. #define GPSR3_0 F_(SD0_CLK, IP7_19_16)
  154. /* GPSR4 */
  155. #define GPSR4_17 F_(SD3_DS, IP11_7_4)
  156. #define GPSR4_16 F_(SD3_DAT7, IP11_3_0)
  157. #define GPSR4_15 F_(SD3_DAT6, IP10_31_28)
  158. #define GPSR4_14 F_(SD3_DAT5, IP10_27_24)
  159. #define GPSR4_13 F_(SD3_DAT4, IP10_23_20)
  160. #define GPSR4_12 F_(SD3_DAT3, IP10_19_16)
  161. #define GPSR4_11 F_(SD3_DAT2, IP10_15_12)
  162. #define GPSR4_10 F_(SD3_DAT1, IP10_11_8)
  163. #define GPSR4_9 F_(SD3_DAT0, IP10_7_4)
  164. #define GPSR4_8 F_(SD3_CMD, IP10_3_0)
  165. #define GPSR4_7 F_(SD3_CLK, IP9_31_28)
  166. #define GPSR4_6 F_(SD2_DS, IP9_27_24)
  167. #define GPSR4_5 F_(SD2_DAT3, IP9_23_20)
  168. #define GPSR4_4 F_(SD2_DAT2, IP9_19_16)
  169. #define GPSR4_3 F_(SD2_DAT1, IP9_15_12)
  170. #define GPSR4_2 F_(SD2_DAT0, IP9_11_8)
  171. #define GPSR4_1 F_(SD2_CMD, IP9_7_4)
  172. #define GPSR4_0 F_(SD2_CLK, IP9_3_0)
  173. /* GPSR5 */
  174. #define GPSR5_25 F_(MLB_DAT, IP14_19_16)
  175. #define GPSR5_24 F_(MLB_SIG, IP14_15_12)
  176. #define GPSR5_23 F_(MLB_CLK, IP14_11_8)
  177. #define GPSR5_22 FM(MSIOF0_RXD)
  178. #define GPSR5_21 F_(MSIOF0_SS2, IP14_7_4)
  179. #define GPSR5_20 FM(MSIOF0_TXD)
  180. #define GPSR5_19 F_(MSIOF0_SS1, IP14_3_0)
  181. #define GPSR5_18 F_(MSIOF0_SYNC, IP13_31_28)
  182. #define GPSR5_17 FM(MSIOF0_SCK)
  183. #define GPSR5_16 F_(HRTS0_N, IP13_27_24)
  184. #define GPSR5_15 F_(HCTS0_N, IP13_23_20)
  185. #define GPSR5_14 F_(HTX0, IP13_19_16)
  186. #define GPSR5_13 F_(HRX0, IP13_15_12)
  187. #define GPSR5_12 F_(HSCK0, IP13_11_8)
  188. #define GPSR5_11 F_(RX2_A, IP13_7_4)
  189. #define GPSR5_10 F_(TX2_A, IP13_3_0)
  190. #define GPSR5_9 F_(SCK2, IP12_31_28)
  191. #define GPSR5_8 F_(RTS1_N, IP12_27_24)
  192. #define GPSR5_7 F_(CTS1_N, IP12_23_20)
  193. #define GPSR5_6 F_(TX1_A, IP12_19_16)
  194. #define GPSR5_5 F_(RX1_A, IP12_15_12)
  195. #define GPSR5_4 F_(RTS0_N, IP12_11_8)
  196. #define GPSR5_3 F_(CTS0_N, IP12_7_4)
  197. #define GPSR5_2 F_(TX0, IP12_3_0)
  198. #define GPSR5_1 F_(RX0, IP11_31_28)
  199. #define GPSR5_0 F_(SCK0, IP11_27_24)
  200. /* GPSR6 */
  201. #define GPSR6_31 F_(USB2_CH3_OVC, IP18_7_4)
  202. #define GPSR6_30 F_(USB2_CH3_PWEN, IP18_3_0)
  203. #define GPSR6_29 F_(USB30_OVC, IP17_31_28)
  204. #define GPSR6_28 F_(USB30_PWEN, IP17_27_24)
  205. #define GPSR6_27 F_(USB1_OVC, IP17_23_20)
  206. #define GPSR6_26 F_(USB1_PWEN, IP17_19_16)
  207. #define GPSR6_25 F_(USB0_OVC, IP17_15_12)
  208. #define GPSR6_24 F_(USB0_PWEN, IP17_11_8)
  209. #define GPSR6_23 F_(AUDIO_CLKB_B, IP17_7_4)
  210. #define GPSR6_22 F_(AUDIO_CLKA_A, IP17_3_0)
  211. #define GPSR6_21 F_(SSI_SDATA9_A, IP16_31_28)
  212. #define GPSR6_20 F_(SSI_SDATA8, IP16_27_24)
  213. #define GPSR6_19 F_(SSI_SDATA7, IP16_23_20)
  214. #define GPSR6_18 F_(SSI_WS78, IP16_19_16)
  215. #define GPSR6_17 F_(SSI_SCK78, IP16_15_12)
  216. #define GPSR6_16 F_(SSI_SDATA6, IP16_11_8)
  217. #define GPSR6_15 F_(SSI_WS6, IP16_7_4)
  218. #define GPSR6_14 F_(SSI_SCK6, IP16_3_0)
  219. #define GPSR6_13 FM(SSI_SDATA5)
  220. #define GPSR6_12 FM(SSI_WS5)
  221. #define GPSR6_11 FM(SSI_SCK5)
  222. #define GPSR6_10 F_(SSI_SDATA4, IP15_31_28)
  223. #define GPSR6_9 F_(SSI_WS4, IP15_27_24)
  224. #define GPSR6_8 F_(SSI_SCK4, IP15_23_20)
  225. #define GPSR6_7 F_(SSI_SDATA3, IP15_19_16)
  226. #define GPSR6_6 F_(SSI_WS349, IP15_15_12)
  227. #define GPSR6_5 F_(SSI_SCK349, IP15_11_8)
  228. #define GPSR6_4 F_(SSI_SDATA2_A, IP15_7_4)
  229. #define GPSR6_3 F_(SSI_SDATA1_A, IP15_3_0)
  230. #define GPSR6_2 F_(SSI_SDATA0, IP14_31_28)
  231. #define GPSR6_1 F_(SSI_WS01239, IP14_27_24)
  232. #define GPSR6_0 F_(SSI_SCK01239, IP14_23_20)
  233. /* GPSR7 */
  234. #define GPSR7_3 FM(GP7_03)
  235. #define GPSR7_2 FM(GP7_02)
  236. #define GPSR7_1 FM(AVS2)
  237. #define GPSR7_0 FM(AVS1)
  238. /* IPSRx */ /* 0 */ /* 1 */ /* 2 */ /* 3 */ /* 4 */ /* 5 */ /* 6 */ /* 7 */ /* 8 */ /* 9 */ /* A */ /* B */ /* C - F */
  239. #define IP0_3_0 FM(AVB_MDC) F_(0, 0) FM(MSIOF2_SS2_C) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  240. #define IP0_7_4 FM(AVB_MAGIC) F_(0, 0) FM(MSIOF2_SS1_C) FM(SCK4_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  241. #define IP0_11_8 FM(AVB_PHY_INT) F_(0, 0) FM(MSIOF2_SYNC_C) FM(RX4_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  242. #define IP0_15_12 FM(AVB_LINK) F_(0, 0) FM(MSIOF2_SCK_C) FM(TX4_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  243. #define IP0_19_16 FM(AVB_AVTP_MATCH_A) F_(0, 0) FM(MSIOF2_RXD_C) FM(CTS4_N_A) F_(0, 0) FM(FSCLKST2_N_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  244. #define IP0_23_20 FM(AVB_AVTP_CAPTURE_A) F_(0, 0) FM(MSIOF2_TXD_C) FM(RTS4_N_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  245. #define IP0_27_24 FM(IRQ0) FM(QPOLB) F_(0, 0) FM(DU_CDE) FM(VI4_DATA0_B) FM(CAN0_TX_B) FM(CANFD0_TX_B) FM(MSIOF3_SS2_E) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  246. #define IP0_31_28 FM(IRQ1) FM(QPOLA) F_(0, 0) FM(DU_DISP) FM(VI4_DATA1_B) FM(CAN0_RX_B) FM(CANFD0_RX_B) FM(MSIOF3_SS1_E) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  247. #define IP1_3_0 FM(IRQ2) FM(QCPV_QDE) F_(0, 0) FM(DU_EXODDF_DU_ODDF_DISP_CDE) FM(VI4_DATA2_B) F_(0, 0) F_(0, 0) FM(MSIOF3_SYNC_E) F_(0, 0) FM(PWM3_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  248. #define IP1_7_4 FM(IRQ3) FM(QSTVB_QVE) F_(0, 0) FM(DU_DOTCLKOUT1) FM(VI4_DATA3_B) F_(0, 0) F_(0, 0) FM(MSIOF3_SCK_E) F_(0, 0) FM(PWM4_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  249. #define IP1_11_8 FM(IRQ4) FM(QSTH_QHS) F_(0, 0) FM(DU_EXHSYNC_DU_HSYNC) FM(VI4_DATA4_B) F_(0, 0) F_(0, 0) FM(MSIOF3_RXD_E) F_(0, 0) FM(PWM5_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  250. #define IP1_15_12 FM(IRQ5) FM(QSTB_QHE) F_(0, 0) FM(DU_EXVSYNC_DU_VSYNC) FM(VI4_DATA5_B) FM(FSCLKST2_N_B) F_(0, 0) FM(MSIOF3_TXD_E) F_(0, 0) FM(PWM6_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  251. #define IP1_19_16 FM(PWM0) FM(AVB_AVTP_PPS)F_(0, 0) F_(0, 0) FM(VI4_DATA6_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) FM(IECLK_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  252. #define IP1_23_20 FM(PWM1_A) F_(0, 0) F_(0, 0) FM(HRX3_D) FM(VI4_DATA7_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) FM(IERX_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  253. #define IP1_27_24 FM(PWM2_A) F_(0, 0) F_(0, 0) FM(HTX3_D) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) FM(IETX_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  254. #define IP1_31_28 FM(A0) FM(LCDOUT16) FM(MSIOF3_SYNC_B) F_(0, 0) FM(VI4_DATA8) F_(0, 0) FM(DU_DB0) F_(0, 0) F_(0, 0) FM(PWM3_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  255. #define IP2_3_0 FM(A1) FM(LCDOUT17) FM(MSIOF3_TXD_B) F_(0, 0) FM(VI4_DATA9) F_(0, 0) FM(DU_DB1) F_(0, 0) F_(0, 0) FM(PWM4_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  256. #define IP2_7_4 FM(A2) FM(LCDOUT18) FM(MSIOF3_SCK_B) F_(0, 0) FM(VI4_DATA10) F_(0, 0) FM(DU_DB2) F_(0, 0) F_(0, 0) FM(PWM5_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  257. #define IP2_11_8 FM(A3) FM(LCDOUT19) FM(MSIOF3_RXD_B) F_(0, 0) FM(VI4_DATA11) F_(0, 0) FM(DU_DB3) F_(0, 0) F_(0, 0) FM(PWM6_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  258. /* IPSRx */ /* 0 */ /* 1 */ /* 2 */ /* 3 */ /* 4 */ /* 5 */ /* 6 */ /* 7 */ /* 8 */ /* 9 */ /* A */ /* B */ /* C - F */
  259. #define IP2_15_12 FM(A4) FM(LCDOUT20) FM(MSIOF3_SS1_B) F_(0, 0) FM(VI4_DATA12) FM(VI5_DATA12) FM(DU_DB4) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  260. #define IP2_19_16 FM(A5) FM(LCDOUT21) FM(MSIOF3_SS2_B) FM(SCK4_B) FM(VI4_DATA13) FM(VI5_DATA13) FM(DU_DB5) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  261. #define IP2_23_20 FM(A6) FM(LCDOUT22) FM(MSIOF2_SS1_A) FM(RX4_B) FM(VI4_DATA14) FM(VI5_DATA14) FM(DU_DB6) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  262. #define IP2_27_24 FM(A7) FM(LCDOUT23) FM(MSIOF2_SS2_A) FM(TX4_B) FM(VI4_DATA15) FM(VI5_DATA15) FM(DU_DB7) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  263. #define IP2_31_28 FM(A8) FM(RX3_B) FM(MSIOF2_SYNC_A) FM(HRX4_B) F_(0, 0) F_(0, 0) F_(0, 0) FM(SDA6_A) FM(AVB_AVTP_MATCH_B) FM(PWM1_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  264. #define IP3_3_0 FM(A9) F_(0, 0) FM(MSIOF2_SCK_A) FM(CTS4_N_B) F_(0, 0) FM(VI5_VSYNC_N) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  265. #define IP3_7_4 FM(A10) F_(0, 0) FM(MSIOF2_RXD_A) FM(RTS4_N_B) F_(0, 0) FM(VI5_HSYNC_N) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  266. #define IP3_11_8 FM(A11) FM(TX3_B) FM(MSIOF2_TXD_A) FM(HTX4_B) FM(HSCK4) FM(VI5_FIELD) F_(0, 0) FM(SCL6_A) FM(AVB_AVTP_CAPTURE_B) FM(PWM2_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  267. #define IP3_15_12 FM(A12) FM(LCDOUT12) FM(MSIOF3_SCK_C) F_(0, 0) FM(HRX4_A) FM(VI5_DATA8) FM(DU_DG4) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  268. #define IP3_19_16 FM(A13) FM(LCDOUT13) FM(MSIOF3_SYNC_C) F_(0, 0) FM(HTX4_A) FM(VI5_DATA9) FM(DU_DG5) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  269. #define IP3_23_20 FM(A14) FM(LCDOUT14) FM(MSIOF3_RXD_C) F_(0, 0) FM(HCTS4_N) FM(VI5_DATA10) FM(DU_DG6) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  270. #define IP3_27_24 FM(A15) FM(LCDOUT15) FM(MSIOF3_TXD_C) F_(0, 0) FM(HRTS4_N) FM(VI5_DATA11) FM(DU_DG7) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  271. #define IP3_31_28 FM(A16) FM(LCDOUT8) F_(0, 0) F_(0, 0) FM(VI4_FIELD) F_(0, 0) FM(DU_DG0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  272. #define IP4_3_0 FM(A17) FM(LCDOUT9) F_(0, 0) F_(0, 0) FM(VI4_VSYNC_N) F_(0, 0) FM(DU_DG1) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  273. #define IP4_7_4 FM(A18) FM(LCDOUT10) F_(0, 0) F_(0, 0) FM(VI4_HSYNC_N) F_(0, 0) FM(DU_DG2) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  274. #define IP4_11_8 FM(A19) FM(LCDOUT11) F_(0, 0) F_(0, 0) FM(VI4_CLKENB) F_(0, 0) FM(DU_DG3) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  275. #define IP4_15_12 FM(CS0_N) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) FM(VI5_CLKENB) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  276. #define IP4_19_16 FM(CS1_N) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) FM(VI5_CLK) F_(0, 0) FM(EX_WAIT0_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  277. #define IP4_23_20 FM(BS_N) FM(QSTVA_QVS) FM(MSIOF3_SCK_D) FM(SCK3) FM(HSCK3) F_(0, 0) F_(0, 0) F_(0, 0) FM(CAN1_TX) FM(CANFD1_TX) FM(IETX_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  278. #define IP4_27_24 FM(RD_N) F_(0, 0) FM(MSIOF3_SYNC_D) FM(RX3_A) FM(HRX3_A) F_(0, 0) F_(0, 0) F_(0, 0) FM(CAN0_TX_A) FM(CANFD0_TX_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  279. #define IP4_31_28 FM(RD_WR_N) F_(0, 0) FM(MSIOF3_RXD_D) FM(TX3_A) FM(HTX3_A) F_(0, 0) F_(0, 0) F_(0, 0) FM(CAN0_RX_A) FM(CANFD0_RX_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  280. #define IP5_3_0 FM(WE0_N) F_(0, 0) FM(MSIOF3_TXD_D) FM(CTS3_N) FM(HCTS3_N) F_(0, 0) F_(0, 0) FM(SCL6_B) FM(CAN_CLK) F_(0, 0) FM(IECLK_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  281. #define IP5_7_4 FM(WE1_N) F_(0, 0) FM(MSIOF3_SS1_D) FM(RTS3_N) FM(HRTS3_N) F_(0, 0) F_(0, 0) FM(SDA6_B) FM(CAN1_RX) FM(CANFD1_RX) FM(IERX_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  282. #define IP5_11_8 FM(EX_WAIT0_A) FM(QCLK) F_(0, 0) F_(0, 0) FM(VI4_CLK) F_(0, 0) FM(DU_DOTCLKOUT0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  283. #define IP5_15_12 FM(D0) FM(MSIOF2_SS1_B)FM(MSIOF3_SCK_A) F_(0, 0) FM(VI4_DATA16) FM(VI5_DATA0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  284. #define IP5_19_16 FM(D1) FM(MSIOF2_SS2_B)FM(MSIOF3_SYNC_A) F_(0, 0) FM(VI4_DATA17) FM(VI5_DATA1) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  285. #define IP5_23_20 FM(D2) F_(0, 0) FM(MSIOF3_RXD_A) F_(0, 0) FM(VI4_DATA18) FM(VI5_DATA2) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  286. #define IP5_27_24 FM(D3) F_(0, 0) FM(MSIOF3_TXD_A) F_(0, 0) FM(VI4_DATA19) FM(VI5_DATA3) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  287. #define IP5_31_28 FM(D4) FM(MSIOF2_SCK_B)F_(0, 0) F_(0, 0) FM(VI4_DATA20) FM(VI5_DATA4) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  288. #define IP6_3_0 FM(D5) FM(MSIOF2_SYNC_B)F_(0, 0) F_(0, 0) FM(VI4_DATA21) FM(VI5_DATA5) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  289. #define IP6_7_4 FM(D6) FM(MSIOF2_RXD_B)F_(0, 0) F_(0, 0) FM(VI4_DATA22) FM(VI5_DATA6) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  290. #define IP6_11_8 FM(D7) FM(MSIOF2_TXD_B)F_(0, 0) F_(0, 0) FM(VI4_DATA23) FM(VI5_DATA7) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  291. #define IP6_15_12 FM(D8) FM(LCDOUT0) FM(MSIOF2_SCK_D) FM(SCK4_C) FM(VI4_DATA0_A) F_(0, 0) FM(DU_DR0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  292. #define IP6_19_16 FM(D9) FM(LCDOUT1) FM(MSIOF2_SYNC_D) F_(0, 0) FM(VI4_DATA1_A) F_(0, 0) FM(DU_DR1) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  293. #define IP6_23_20 FM(D10) FM(LCDOUT2) FM(MSIOF2_RXD_D) FM(HRX3_B) FM(VI4_DATA2_A) FM(CTS4_N_C) FM(DU_DR2) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  294. #define IP6_27_24 FM(D11) FM(LCDOUT3) FM(MSIOF2_TXD_D) FM(HTX3_B) FM(VI4_DATA3_A) FM(RTS4_N_C) FM(DU_DR3) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  295. #define IP6_31_28 FM(D12) FM(LCDOUT4) FM(MSIOF2_SS1_D) FM(RX4_C) FM(VI4_DATA4_A) F_(0, 0) FM(DU_DR4) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  296. #define IP7_3_0 FM(D13) FM(LCDOUT5) FM(MSIOF2_SS2_D) FM(TX4_C) FM(VI4_DATA5_A) F_(0, 0) FM(DU_DR5) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  297. #define IP7_7_4 FM(D14) FM(LCDOUT6) FM(MSIOF3_SS1_A) FM(HRX3_C) FM(VI4_DATA6_A) F_(0, 0) FM(DU_DR6) FM(SCL6_C) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  298. #define IP7_11_8 FM(D15) FM(LCDOUT7) FM(MSIOF3_SS2_A) FM(HTX3_C) FM(VI4_DATA7_A) F_(0, 0) FM(DU_DR7) FM(SDA6_C) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  299. #define IP7_19_16 FM(SD0_CLK) F_(0, 0) FM(MSIOF1_SCK_E) F_(0, 0) F_(0, 0) F_(0, 0) FM(STP_OPWM_0_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  300. /* IPSRx */ /* 0 */ /* 1 */ /* 2 */ /* 3 */ /* 4 */ /* 5 */ /* 6 */ /* 7 */ /* 8 */ /* 9 */ /* A */ /* B */ /* C - F */
  301. #define IP7_23_20 FM(SD0_CMD) F_(0, 0) FM(MSIOF1_SYNC_E) F_(0, 0) F_(0, 0) F_(0, 0) FM(STP_IVCXO27_0_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  302. #define IP7_27_24 FM(SD0_DAT0) F_(0, 0) FM(MSIOF1_RXD_E) F_(0, 0) F_(0, 0) FM(TS_SCK0_B) FM(STP_ISCLK_0_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  303. #define IP7_31_28 FM(SD0_DAT1) F_(0, 0) FM(MSIOF1_TXD_E) F_(0, 0) F_(0, 0) FM(TS_SPSYNC0_B)FM(STP_ISSYNC_0_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  304. #define IP8_3_0 FM(SD0_DAT2) F_(0, 0) FM(MSIOF1_SS1_E) F_(0, 0) F_(0, 0) FM(TS_SDAT0_B) FM(STP_ISD_0_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  305. #define IP8_7_4 FM(SD0_DAT3) F_(0, 0) FM(MSIOF1_SS2_E) F_(0, 0) F_(0, 0) FM(TS_SDEN0_B) FM(STP_ISEN_0_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  306. #define IP8_11_8 FM(SD1_CLK) F_(0, 0) FM(MSIOF1_SCK_G) F_(0, 0) F_(0, 0) FM(SIM0_CLK_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  307. #define IP8_15_12 FM(SD1_CMD) F_(0, 0) FM(MSIOF1_SYNC_G) FM(NFCE_N_B) F_(0, 0) FM(SIM0_D_A) FM(STP_IVCXO27_1_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  308. #define IP8_19_16 FM(SD1_DAT0) FM(SD2_DAT4) FM(MSIOF1_RXD_G) FM(NFWP_N_B) F_(0, 0) FM(TS_SCK1_B) FM(STP_ISCLK_1_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  309. #define IP8_23_20 FM(SD1_DAT1) FM(SD2_DAT5) FM(MSIOF1_TXD_G) FM(NFDATA14_B) F_(0, 0) FM(TS_SPSYNC1_B)FM(STP_ISSYNC_1_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  310. #define IP8_27_24 FM(SD1_DAT2) FM(SD2_DAT6) FM(MSIOF1_SS1_G) FM(NFDATA15_B) F_(0, 0) FM(TS_SDAT1_B) FM(STP_ISD_1_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  311. #define IP8_31_28 FM(SD1_DAT3) FM(SD2_DAT7) FM(MSIOF1_SS2_G) FM(NFRB_N_B) F_(0, 0) FM(TS_SDEN1_B) FM(STP_ISEN_1_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  312. #define IP9_3_0 FM(SD2_CLK) F_(0, 0) FM(NFDATA8) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  313. #define IP9_7_4 FM(SD2_CMD) F_(0, 0) FM(NFDATA9) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  314. #define IP9_11_8 FM(SD2_DAT0) F_(0, 0) FM(NFDATA10) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  315. #define IP9_15_12 FM(SD2_DAT1) F_(0, 0) FM(NFDATA11) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  316. #define IP9_19_16 FM(SD2_DAT2) F_(0, 0) FM(NFDATA12) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  317. #define IP9_23_20 FM(SD2_DAT3) F_(0, 0) FM(NFDATA13) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  318. #define IP9_27_24 FM(SD2_DS) F_(0, 0) FM(NFALE) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) FM(SATA_DEVSLP_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  319. #define IP9_31_28 FM(SD3_CLK) F_(0, 0) FM(NFWE_N) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  320. #define IP10_3_0 FM(SD3_CMD) F_(0, 0) FM(NFRE_N) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  321. #define IP10_7_4 FM(SD3_DAT0) F_(0, 0) FM(NFDATA0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  322. #define IP10_11_8 FM(SD3_DAT1) F_(0, 0) FM(NFDATA1) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  323. #define IP10_15_12 FM(SD3_DAT2) F_(0, 0) FM(NFDATA2) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  324. #define IP10_19_16 FM(SD3_DAT3) F_(0, 0) FM(NFDATA3) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  325. #define IP10_23_20 FM(SD3_DAT4) FM(SD2_CD_A) FM(NFDATA4) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  326. #define IP10_27_24 FM(SD3_DAT5) FM(SD2_WP_A) FM(NFDATA5) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  327. #define IP10_31_28 FM(SD3_DAT6) FM(SD3_CD) FM(NFDATA6) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  328. #define IP11_3_0 FM(SD3_DAT7) FM(SD3_WP) FM(NFDATA7) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  329. #define IP11_7_4 FM(SD3_DS) F_(0, 0) FM(NFCLE) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  330. #define IP11_11_8 FM(SD0_CD) F_(0, 0) FM(NFDATA14_A) F_(0, 0) FM(SCL2_B) FM(SIM0_RST_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  331. /* IPSRx */ /* 0 */ /* 1 */ /* 2 */ /* 3 */ /* 4 */ /* 5 */ /* 6 */ /* 7 */ /* 8 */ /* 9 */ /* A */ /* B */ /* C - F */
  332. #define IP11_15_12 FM(SD0_WP) F_(0, 0) FM(NFDATA15_A) F_(0, 0) FM(SDA2_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  333. #define IP11_19_16 FM(SD1_CD) F_(0, 0) FM(NFRB_N_A) F_(0, 0) F_(0, 0) FM(SIM0_CLK_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  334. #define IP11_23_20 FM(SD1_WP) F_(0, 0) FM(NFCE_N_A) F_(0, 0) F_(0, 0) FM(SIM0_D_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  335. #define IP11_27_24 FM(SCK0) FM(HSCK1_B) FM(MSIOF1_SS2_B) FM(AUDIO_CLKC_B) FM(SDA2_A) FM(SIM0_RST_B) FM(STP_OPWM_0_C) FM(RIF0_CLK_B) F_(0, 0) FM(ADICHS2) FM(SCK5_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  336. #define IP11_31_28 FM(RX0) FM(HRX1_B) F_(0, 0) F_(0, 0) F_(0, 0) FM(TS_SCK0_C) FM(STP_ISCLK_0_C) FM(RIF0_D0_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  337. #define IP12_3_0 FM(TX0) FM(HTX1_B) F_(0, 0) F_(0, 0) F_(0, 0) FM(TS_SPSYNC0_C)FM(STP_ISSYNC_0_C) FM(RIF0_D1_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  338. #define IP12_7_4 FM(CTS0_N) FM(HCTS1_N_B) FM(MSIOF1_SYNC_B) F_(0, 0) F_(0, 0) FM(TS_SPSYNC1_C)FM(STP_ISSYNC_1_C) FM(RIF1_SYNC_B) FM(AUDIO_CLKOUT_C) FM(ADICS_SAMP) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  339. #define IP12_11_8 FM(RTS0_N) FM(HRTS1_N_B) FM(MSIOF1_SS1_B) FM(AUDIO_CLKA_B) FM(SCL2_A) F_(0, 0) FM(STP_IVCXO27_1_C) FM(RIF0_SYNC_B) F_(0, 0) FM(ADICHS1) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  340. #define IP12_15_12 FM(RX1_A) FM(HRX1_A) F_(0, 0) F_(0, 0) F_(0, 0) FM(TS_SDAT0_C) FM(STP_ISD_0_C) FM(RIF1_CLK_C) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  341. #define IP12_19_16 FM(TX1_A) FM(HTX1_A) F_(0, 0) F_(0, 0) F_(0, 0) FM(TS_SDEN0_C) FM(STP_ISEN_0_C) FM(RIF1_D0_C) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  342. #define IP12_23_20 FM(CTS1_N) FM(HCTS1_N_A) FM(MSIOF1_RXD_B) F_(0, 0) F_(0, 0) FM(TS_SDEN1_C) FM(STP_ISEN_1_C) FM(RIF1_D0_B) F_(0, 0) FM(ADIDATA) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  343. #define IP12_27_24 FM(RTS1_N) FM(HRTS1_N_A) FM(MSIOF1_TXD_B) F_(0, 0) F_(0, 0) FM(TS_SDAT1_C) FM(STP_ISD_1_C) FM(RIF1_D1_B) F_(0, 0) FM(ADICHS0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  344. #define IP12_31_28 FM(SCK2) FM(SCIF_CLK_B) FM(MSIOF1_SCK_B) F_(0, 0) F_(0, 0) FM(TS_SCK1_C) FM(STP_ISCLK_1_C) FM(RIF1_CLK_B) F_(0, 0) FM(ADICLK) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  345. #define IP13_3_0 FM(TX2_A) F_(0, 0) F_(0, 0) FM(SD2_CD_B) FM(SCL1_A) F_(0, 0) FM(FMCLK_A) FM(RIF1_D1_C) F_(0, 0) FM(FSO_CFE_0_N) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  346. #define IP13_7_4 FM(RX2_A) F_(0, 0) F_(0, 0) FM(SD2_WP_B) FM(SDA1_A) F_(0, 0) FM(FMIN_A) FM(RIF1_SYNC_C) F_(0, 0) FM(FSO_CFE_1_N) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  347. #define IP13_11_8 FM(HSCK0) F_(0, 0) FM(MSIOF1_SCK_D) FM(AUDIO_CLKB_A) FM(SSI_SDATA1_B)FM(TS_SCK0_D) FM(STP_ISCLK_0_D) FM(RIF0_CLK_C) F_(0, 0) F_(0, 0) FM(RX5_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  348. #define IP13_15_12 FM(HRX0) F_(0, 0) FM(MSIOF1_RXD_D) F_(0, 0) FM(SSI_SDATA2_B)FM(TS_SDEN0_D) FM(STP_ISEN_0_D) FM(RIF0_D0_C) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  349. #define IP13_19_16 FM(HTX0) F_(0, 0) FM(MSIOF1_TXD_D) F_(0, 0) FM(SSI_SDATA9_B)FM(TS_SDAT0_D) FM(STP_ISD_0_D) FM(RIF0_D1_C) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  350. #define IP13_23_20 FM(HCTS0_N) FM(RX2_B) FM(MSIOF1_SYNC_D) F_(0, 0) FM(SSI_SCK9_A) FM(TS_SPSYNC0_D)FM(STP_ISSYNC_0_D) FM(RIF0_SYNC_C) FM(AUDIO_CLKOUT1_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  351. #define IP13_27_24 FM(HRTS0_N) FM(TX2_B) FM(MSIOF1_SS1_D) F_(0, 0) FM(SSI_WS9_A) F_(0, 0) FM(STP_IVCXO27_0_D) FM(BPFCLK_A) FM(AUDIO_CLKOUT2_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  352. #define IP13_31_28 FM(MSIOF0_SYNC) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) FM(AUDIO_CLKOUT_A) F_(0, 0) FM(TX5_B) F_(0, 0) F_(0, 0) FM(BPFCLK_D) F_(0, 0) F_(0, 0)
  353. #define IP14_3_0 FM(MSIOF0_SS1) FM(RX5_A) FM(NFWP_N_A) FM(AUDIO_CLKA_C) FM(SSI_SCK2_A) F_(0, 0) FM(STP_IVCXO27_0_C) F_(0, 0) FM(AUDIO_CLKOUT3_A) F_(0, 0) FM(TCLK1_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  354. #define IP14_7_4 FM(MSIOF0_SS2) FM(TX5_A) FM(MSIOF1_SS2_D) FM(AUDIO_CLKC_A) FM(SSI_WS2_A) F_(0, 0) FM(STP_OPWM_0_D) F_(0, 0) FM(AUDIO_CLKOUT_D) F_(0, 0) FM(SPEEDIN_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  355. #define IP14_11_8 FM(MLB_CLK) F_(0, 0) FM(MSIOF1_SCK_F) F_(0, 0) FM(SCL1_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  356. #define IP14_15_12 FM(MLB_SIG) FM(RX1_B) FM(MSIOF1_SYNC_F) F_(0, 0) FM(SDA1_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  357. #define IP14_19_16 FM(MLB_DAT) FM(TX1_B) FM(MSIOF1_RXD_F) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  358. #define IP14_23_20 FM(SSI_SCK01239) F_(0, 0) FM(MSIOF1_TXD_F) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  359. #define IP14_27_24 FM(SSI_WS01239) F_(0, 0) FM(MSIOF1_SS1_F) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  360. /* IPSRx */ /* 0 */ /* 1 */ /* 2 */ /* 3 */ /* 4 */ /* 5 */ /* 6 */ /* 7 */ /* 8 */ /* 9 */ /* A */ /* B */ /* C - F */
  361. #define IP14_31_28 FM(SSI_SDATA0) F_(0, 0) FM(MSIOF1_SS2_F) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  362. #define IP15_3_0 FM(SSI_SDATA1_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  363. #define IP15_7_4 FM(SSI_SDATA2_A) F_(0, 0) F_(0, 0) F_(0, 0) FM(SSI_SCK1_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  364. #define IP15_11_8 FM(SSI_SCK349) F_(0, 0) FM(MSIOF1_SS1_A) F_(0, 0) F_(0, 0) F_(0, 0) FM(STP_OPWM_0_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  365. #define IP15_15_12 FM(SSI_WS349) FM(HCTS2_N_A) FM(MSIOF1_SS2_A) F_(0, 0) F_(0, 0) F_(0, 0) FM(STP_IVCXO27_0_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  366. #define IP15_19_16 FM(SSI_SDATA3) FM(HRTS2_N_A) FM(MSIOF1_TXD_A) F_(0, 0) F_(0, 0) FM(TS_SCK0_A) FM(STP_ISCLK_0_A) FM(RIF0_D1_A) FM(RIF2_D0_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  367. #define IP15_23_20 FM(SSI_SCK4) FM(HRX2_A) FM(MSIOF1_SCK_A) F_(0, 0) F_(0, 0) FM(TS_SDAT0_A) FM(STP_ISD_0_A) FM(RIF0_CLK_A) FM(RIF2_CLK_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  368. #define IP15_27_24 FM(SSI_WS4) FM(HTX2_A) FM(MSIOF1_SYNC_A) F_(0, 0) F_(0, 0) FM(TS_SDEN0_A) FM(STP_ISEN_0_A) FM(RIF0_SYNC_A) FM(RIF2_SYNC_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  369. #define IP15_31_28 FM(SSI_SDATA4) FM(HSCK2_A) FM(MSIOF1_RXD_A) F_(0, 0) F_(0, 0) FM(TS_SPSYNC0_A)FM(STP_ISSYNC_0_A) FM(RIF0_D0_A) FM(RIF2_D1_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  370. #define IP16_3_0 FM(SSI_SCK6) FM(USB2_PWEN) F_(0, 0) FM(SIM0_RST_D) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  371. #define IP16_7_4 FM(SSI_WS6) FM(USB2_OVC) F_(0, 0) FM(SIM0_D_D) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  372. #define IP16_11_8 FM(SSI_SDATA6) F_(0, 0) F_(0, 0) FM(SIM0_CLK_D) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) FM(SATA_DEVSLP_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  373. #define IP16_15_12 FM(SSI_SCK78) FM(HRX2_B) FM(MSIOF1_SCK_C) F_(0, 0) F_(0, 0) FM(TS_SCK1_A) FM(STP_ISCLK_1_A) FM(RIF1_CLK_A) FM(RIF3_CLK_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  374. #define IP16_19_16 FM(SSI_WS78) FM(HTX2_B) FM(MSIOF1_SYNC_C) F_(0, 0) F_(0, 0) FM(TS_SDAT1_A) FM(STP_ISD_1_A) FM(RIF1_SYNC_A) FM(RIF3_SYNC_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  375. #define IP16_23_20 FM(SSI_SDATA7) FM(HCTS2_N_B) FM(MSIOF1_RXD_C) F_(0, 0) F_(0, 0) FM(TS_SDEN1_A) FM(STP_ISEN_1_A) FM(RIF1_D0_A) FM(RIF3_D0_A) F_(0, 0) FM(TCLK2_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  376. #define IP16_27_24 FM(SSI_SDATA8) FM(HRTS2_N_B) FM(MSIOF1_TXD_C) F_(0, 0) F_(0, 0) FM(TS_SPSYNC1_A)FM(STP_ISSYNC_1_A) FM(RIF1_D1_A) FM(RIF3_D1_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  377. #define IP16_31_28 FM(SSI_SDATA9_A) FM(HSCK2_B) FM(MSIOF1_SS1_C) FM(HSCK1_A) FM(SSI_WS1_B) FM(SCK1) FM(STP_IVCXO27_1_A) FM(SCK5_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  378. #define IP17_3_0 FM(AUDIO_CLKA_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  379. #define IP17_7_4 FM(AUDIO_CLKB_B) FM(SCIF_CLK_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) FM(STP_IVCXO27_1_D) FM(REMOCON_A) F_(0, 0) F_(0, 0) FM(TCLK1_A) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  380. #define IP17_11_8 FM(USB0_PWEN) F_(0, 0) F_(0, 0) FM(SIM0_RST_C) F_(0, 0) FM(TS_SCK1_D) FM(STP_ISCLK_1_D) FM(BPFCLK_B) FM(RIF3_CLK_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) FM(HSCK2_C) F_(0, 0) F_(0, 0)
  381. #define IP17_15_12 FM(USB0_OVC) F_(0, 0) F_(0, 0) FM(SIM0_D_C) F_(0, 0) FM(TS_SDAT1_D) FM(STP_ISD_1_D) F_(0, 0) FM(RIF3_SYNC_B) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) FM(HRX2_C) F_(0, 0) F_(0, 0)
  382. #define IP17_19_16 FM(USB1_PWEN) F_(0, 0) F_(0, 0) FM(SIM0_CLK_C) FM(SSI_SCK1_A) FM(TS_SCK0_E) FM(STP_ISCLK_0_E) FM(FMCLK_B) FM(RIF2_CLK_B) F_(0, 0) FM(SPEEDIN_A) F_(0, 0) F_(0, 0) FM(HTX2_C) F_(0, 0) F_(0, 0)
  383. #define IP17_23_20 FM(USB1_OVC) F_(0, 0) FM(MSIOF1_SS2_C) F_(0, 0) FM(SSI_WS1_A) FM(TS_SDAT0_E) FM(STP_ISD_0_E) FM(FMIN_B) FM(RIF2_SYNC_B) F_(0, 0) FM(REMOCON_B) F_(0, 0) F_(0, 0) FM(HCTS2_N_C) F_(0, 0) F_(0, 0)
  384. #define IP17_27_24 FM(USB30_PWEN) F_(0, 0) F_(0, 0) FM(AUDIO_CLKOUT_B) FM(SSI_SCK2_B) FM(TS_SDEN1_D) FM(STP_ISEN_1_D) FM(STP_OPWM_0_E)FM(RIF3_D0_B) F_(0, 0) FM(TCLK2_B) FM(TPU0TO0) FM(BPFCLK_C) FM(HRTS2_N_C) F_(0, 0) F_(0, 0)
  385. #define IP17_31_28 FM(USB30_OVC) F_(0, 0) F_(0, 0) FM(AUDIO_CLKOUT1_B) FM(SSI_WS2_B) FM(TS_SPSYNC1_D)FM(STP_ISSYNC_1_D) FM(STP_IVCXO27_0_E)FM(RIF3_D1_B) F_(0, 0) FM(FSO_TOE_N) FM(TPU0TO1) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  386. #define IP18_3_0 FM(USB2_CH3_PWEN) F_(0, 0) F_(0, 0) FM(AUDIO_CLKOUT2_B) FM(SSI_SCK9_B) FM(TS_SDEN0_E) FM(STP_ISEN_0_E) F_(0, 0) FM(RIF2_D0_B) F_(0, 0) F_(0, 0) FM(TPU0TO2) FM(FMCLK_C) FM(FMCLK_D) F_(0, 0) F_(0, 0)
  387. #define IP18_7_4 FM(USB2_CH3_OVC) F_(0, 0) F_(0, 0) FM(AUDIO_CLKOUT3_B) FM(SSI_WS9_B) FM(TS_SPSYNC0_E)FM(STP_ISSYNC_0_E) F_(0, 0) FM(RIF2_D1_B) F_(0, 0) F_(0, 0) FM(TPU0TO3) FM(FMIN_C) FM(FMIN_D) F_(0, 0) F_(0, 0)
  388. #define PINMUX_GPSR \
  389. \
  390. GPSR6_31 \
  391. GPSR6_30 \
  392. GPSR6_29 \
  393. GPSR1_28 GPSR6_28 \
  394. GPSR1_27 GPSR6_27 \
  395. GPSR1_26 GPSR6_26 \
  396. GPSR1_25 GPSR5_25 GPSR6_25 \
  397. GPSR1_24 GPSR5_24 GPSR6_24 \
  398. GPSR1_23 GPSR5_23 GPSR6_23 \
  399. GPSR1_22 GPSR5_22 GPSR6_22 \
  400. GPSR1_21 GPSR5_21 GPSR6_21 \
  401. GPSR1_20 GPSR5_20 GPSR6_20 \
  402. GPSR1_19 GPSR5_19 GPSR6_19 \
  403. GPSR1_18 GPSR5_18 GPSR6_18 \
  404. GPSR1_17 GPSR4_17 GPSR5_17 GPSR6_17 \
  405. GPSR1_16 GPSR4_16 GPSR5_16 GPSR6_16 \
  406. GPSR0_15 GPSR1_15 GPSR3_15 GPSR4_15 GPSR5_15 GPSR6_15 \
  407. GPSR0_14 GPSR1_14 GPSR2_14 GPSR3_14 GPSR4_14 GPSR5_14 GPSR6_14 \
  408. GPSR0_13 GPSR1_13 GPSR2_13 GPSR3_13 GPSR4_13 GPSR5_13 GPSR6_13 \
  409. GPSR0_12 GPSR1_12 GPSR2_12 GPSR3_12 GPSR4_12 GPSR5_12 GPSR6_12 \
  410. GPSR0_11 GPSR1_11 GPSR2_11 GPSR3_11 GPSR4_11 GPSR5_11 GPSR6_11 \
  411. GPSR0_10 GPSR1_10 GPSR2_10 GPSR3_10 GPSR4_10 GPSR5_10 GPSR6_10 \
  412. GPSR0_9 GPSR1_9 GPSR2_9 GPSR3_9 GPSR4_9 GPSR5_9 GPSR6_9 \
  413. GPSR0_8 GPSR1_8 GPSR2_8 GPSR3_8 GPSR4_8 GPSR5_8 GPSR6_8 \
  414. GPSR0_7 GPSR1_7 GPSR2_7 GPSR3_7 GPSR4_7 GPSR5_7 GPSR6_7 \
  415. GPSR0_6 GPSR1_6 GPSR2_6 GPSR3_6 GPSR4_6 GPSR5_6 GPSR6_6 \
  416. GPSR0_5 GPSR1_5 GPSR2_5 GPSR3_5 GPSR4_5 GPSR5_5 GPSR6_5 \
  417. GPSR0_4 GPSR1_4 GPSR2_4 GPSR3_4 GPSR4_4 GPSR5_4 GPSR6_4 \
  418. GPSR0_3 GPSR1_3 GPSR2_3 GPSR3_3 GPSR4_3 GPSR5_3 GPSR6_3 GPSR7_3 \
  419. GPSR0_2 GPSR1_2 GPSR2_2 GPSR3_2 GPSR4_2 GPSR5_2 GPSR6_2 GPSR7_2 \
  420. GPSR0_1 GPSR1_1 GPSR2_1 GPSR3_1 GPSR4_1 GPSR5_1 GPSR6_1 GPSR7_1 \
  421. GPSR0_0 GPSR1_0 GPSR2_0 GPSR3_0 GPSR4_0 GPSR5_0 GPSR6_0 GPSR7_0
  422. #define PINMUX_IPSR \
  423. \
  424. FM(IP0_3_0) IP0_3_0 FM(IP1_3_0) IP1_3_0 FM(IP2_3_0) IP2_3_0 FM(IP3_3_0) IP3_3_0 \
  425. FM(IP0_7_4) IP0_7_4 FM(IP1_7_4) IP1_7_4 FM(IP2_7_4) IP2_7_4 FM(IP3_7_4) IP3_7_4 \
  426. FM(IP0_11_8) IP0_11_8 FM(IP1_11_8) IP1_11_8 FM(IP2_11_8) IP2_11_8 FM(IP3_11_8) IP3_11_8 \
  427. FM(IP0_15_12) IP0_15_12 FM(IP1_15_12) IP1_15_12 FM(IP2_15_12) IP2_15_12 FM(IP3_15_12) IP3_15_12 \
  428. FM(IP0_19_16) IP0_19_16 FM(IP1_19_16) IP1_19_16 FM(IP2_19_16) IP2_19_16 FM(IP3_19_16) IP3_19_16 \
  429. FM(IP0_23_20) IP0_23_20 FM(IP1_23_20) IP1_23_20 FM(IP2_23_20) IP2_23_20 FM(IP3_23_20) IP3_23_20 \
  430. FM(IP0_27_24) IP0_27_24 FM(IP1_27_24) IP1_27_24 FM(IP2_27_24) IP2_27_24 FM(IP3_27_24) IP3_27_24 \
  431. FM(IP0_31_28) IP0_31_28 FM(IP1_31_28) IP1_31_28 FM(IP2_31_28) IP2_31_28 FM(IP3_31_28) IP3_31_28 \
  432. \
  433. FM(IP4_3_0) IP4_3_0 FM(IP5_3_0) IP5_3_0 FM(IP6_3_0) IP6_3_0 FM(IP7_3_0) IP7_3_0 \
  434. FM(IP4_7_4) IP4_7_4 FM(IP5_7_4) IP5_7_4 FM(IP6_7_4) IP6_7_4 FM(IP7_7_4) IP7_7_4 \
  435. FM(IP4_11_8) IP4_11_8 FM(IP5_11_8) IP5_11_8 FM(IP6_11_8) IP6_11_8 FM(IP7_11_8) IP7_11_8 \
  436. FM(IP4_15_12) IP4_15_12 FM(IP5_15_12) IP5_15_12 FM(IP6_15_12) IP6_15_12 \
  437. FM(IP4_19_16) IP4_19_16 FM(IP5_19_16) IP5_19_16 FM(IP6_19_16) IP6_19_16 FM(IP7_19_16) IP7_19_16 \
  438. FM(IP4_23_20) IP4_23_20 FM(IP5_23_20) IP5_23_20 FM(IP6_23_20) IP6_23_20 FM(IP7_23_20) IP7_23_20 \
  439. FM(IP4_27_24) IP4_27_24 FM(IP5_27_24) IP5_27_24 FM(IP6_27_24) IP6_27_24 FM(IP7_27_24) IP7_27_24 \
  440. FM(IP4_31_28) IP4_31_28 FM(IP5_31_28) IP5_31_28 FM(IP6_31_28) IP6_31_28 FM(IP7_31_28) IP7_31_28 \
  441. \
  442. FM(IP8_3_0) IP8_3_0 FM(IP9_3_0) IP9_3_0 FM(IP10_3_0) IP10_3_0 FM(IP11_3_0) IP11_3_0 \
  443. FM(IP8_7_4) IP8_7_4 FM(IP9_7_4) IP9_7_4 FM(IP10_7_4) IP10_7_4 FM(IP11_7_4) IP11_7_4 \
  444. FM(IP8_11_8) IP8_11_8 FM(IP9_11_8) IP9_11_8 FM(IP10_11_8) IP10_11_8 FM(IP11_11_8) IP11_11_8 \
  445. FM(IP8_15_12) IP8_15_12 FM(IP9_15_12) IP9_15_12 FM(IP10_15_12) IP10_15_12 FM(IP11_15_12) IP11_15_12 \
  446. FM(IP8_19_16) IP8_19_16 FM(IP9_19_16) IP9_19_16 FM(IP10_19_16) IP10_19_16 FM(IP11_19_16) IP11_19_16 \
  447. FM(IP8_23_20) IP8_23_20 FM(IP9_23_20) IP9_23_20 FM(IP10_23_20) IP10_23_20 FM(IP11_23_20) IP11_23_20 \
  448. FM(IP8_27_24) IP8_27_24 FM(IP9_27_24) IP9_27_24 FM(IP10_27_24) IP10_27_24 FM(IP11_27_24) IP11_27_24 \
  449. FM(IP8_31_28) IP8_31_28 FM(IP9_31_28) IP9_31_28 FM(IP10_31_28) IP10_31_28 FM(IP11_31_28) IP11_31_28 \
  450. \
  451. FM(IP12_3_0) IP12_3_0 FM(IP13_3_0) IP13_3_0 FM(IP14_3_0) IP14_3_0 FM(IP15_3_0) IP15_3_0 \
  452. FM(IP12_7_4) IP12_7_4 FM(IP13_7_4) IP13_7_4 FM(IP14_7_4) IP14_7_4 FM(IP15_7_4) IP15_7_4 \
  453. FM(IP12_11_8) IP12_11_8 FM(IP13_11_8) IP13_11_8 FM(IP14_11_8) IP14_11_8 FM(IP15_11_8) IP15_11_8 \
  454. FM(IP12_15_12) IP12_15_12 FM(IP13_15_12) IP13_15_12 FM(IP14_15_12) IP14_15_12 FM(IP15_15_12) IP15_15_12 \
  455. FM(IP12_19_16) IP12_19_16 FM(IP13_19_16) IP13_19_16 FM(IP14_19_16) IP14_19_16 FM(IP15_19_16) IP15_19_16 \
  456. FM(IP12_23_20) IP12_23_20 FM(IP13_23_20) IP13_23_20 FM(IP14_23_20) IP14_23_20 FM(IP15_23_20) IP15_23_20 \
  457. FM(IP12_27_24) IP12_27_24 FM(IP13_27_24) IP13_27_24 FM(IP14_27_24) IP14_27_24 FM(IP15_27_24) IP15_27_24 \
  458. FM(IP12_31_28) IP12_31_28 FM(IP13_31_28) IP13_31_28 FM(IP14_31_28) IP14_31_28 FM(IP15_31_28) IP15_31_28 \
  459. \
  460. FM(IP16_3_0) IP16_3_0 FM(IP17_3_0) IP17_3_0 FM(IP18_3_0) IP18_3_0 \
  461. FM(IP16_7_4) IP16_7_4 FM(IP17_7_4) IP17_7_4 FM(IP18_7_4) IP18_7_4 \
  462. FM(IP16_11_8) IP16_11_8 FM(IP17_11_8) IP17_11_8 \
  463. FM(IP16_15_12) IP16_15_12 FM(IP17_15_12) IP17_15_12 \
  464. FM(IP16_19_16) IP16_19_16 FM(IP17_19_16) IP17_19_16 \
  465. FM(IP16_23_20) IP16_23_20 FM(IP17_23_20) IP17_23_20 \
  466. FM(IP16_27_24) IP16_27_24 FM(IP17_27_24) IP17_27_24 \
  467. FM(IP16_31_28) IP16_31_28 FM(IP17_31_28) IP17_31_28
  468. /* MOD_SEL0 */ /* 0 */ /* 1 */ /* 2 */ /* 3 */ /* 4 */ /* 5 */ /* 6 */ /* 7 */
  469. #define MOD_SEL0_31_30_29 FM(SEL_MSIOF3_0) FM(SEL_MSIOF3_1) FM(SEL_MSIOF3_2) FM(SEL_MSIOF3_3) FM(SEL_MSIOF3_4) F_(0, 0) F_(0, 0) F_(0, 0)
  470. #define MOD_SEL0_28_27 FM(SEL_MSIOF2_0) FM(SEL_MSIOF2_1) FM(SEL_MSIOF2_2) FM(SEL_MSIOF2_3)
  471. #define MOD_SEL0_26_25_24 FM(SEL_MSIOF1_0) FM(SEL_MSIOF1_1) FM(SEL_MSIOF1_2) FM(SEL_MSIOF1_3) FM(SEL_MSIOF1_4) FM(SEL_MSIOF1_5) FM(SEL_MSIOF1_6) F_(0, 0)
  472. #define MOD_SEL0_23 FM(SEL_LBSC_0) FM(SEL_LBSC_1)
  473. #define MOD_SEL0_22 FM(SEL_IEBUS_0) FM(SEL_IEBUS_1)
  474. #define MOD_SEL0_21 FM(SEL_I2C2_0) FM(SEL_I2C2_1)
  475. #define MOD_SEL0_20 FM(SEL_I2C1_0) FM(SEL_I2C1_1)
  476. #define MOD_SEL0_19 FM(SEL_HSCIF4_0) FM(SEL_HSCIF4_1)
  477. #define MOD_SEL0_18_17 FM(SEL_HSCIF3_0) FM(SEL_HSCIF3_1) FM(SEL_HSCIF3_2) FM(SEL_HSCIF3_3)
  478. #define MOD_SEL0_16 FM(SEL_HSCIF1_0) FM(SEL_HSCIF1_1)
  479. #define MOD_SEL0_14_13 FM(SEL_HSCIF2_0) FM(SEL_HSCIF2_1) FM(SEL_HSCIF2_2) F_(0, 0)
  480. #define MOD_SEL0_12 FM(SEL_ETHERAVB_0) FM(SEL_ETHERAVB_1)
  481. #define MOD_SEL0_11 FM(SEL_DRIF3_0) FM(SEL_DRIF3_1)
  482. #define MOD_SEL0_10 FM(SEL_DRIF2_0) FM(SEL_DRIF2_1)
  483. #define MOD_SEL0_9_8 FM(SEL_DRIF1_0) FM(SEL_DRIF1_1) FM(SEL_DRIF1_2) F_(0, 0)
  484. #define MOD_SEL0_7_6 FM(SEL_DRIF0_0) FM(SEL_DRIF0_1) FM(SEL_DRIF0_2) F_(0, 0)
  485. #define MOD_SEL0_5 FM(SEL_CANFD0_0) FM(SEL_CANFD0_1)
  486. #define MOD_SEL0_4_3 FM(SEL_ADGA_0) FM(SEL_ADGA_1) FM(SEL_ADGA_2) FM(SEL_ADGA_3)
  487. /* MOD_SEL1 */ /* 0 */ /* 1 */ /* 2 */ /* 3 */ /* 4 */ /* 5 */ /* 6 */ /* 7 */
  488. #define MOD_SEL1_31_30 FM(SEL_TSIF1_0) FM(SEL_TSIF1_1) FM(SEL_TSIF1_2) FM(SEL_TSIF1_3)
  489. #define MOD_SEL1_29_28_27 FM(SEL_TSIF0_0) FM(SEL_TSIF0_1) FM(SEL_TSIF0_2) FM(SEL_TSIF0_3) FM(SEL_TSIF0_4) F_(0, 0) F_(0, 0) F_(0, 0)
  490. #define MOD_SEL1_26 FM(SEL_TIMER_TMU1_0) FM(SEL_TIMER_TMU1_1)
  491. #define MOD_SEL1_25_24 FM(SEL_SSP1_1_0) FM(SEL_SSP1_1_1) FM(SEL_SSP1_1_2) FM(SEL_SSP1_1_3)
  492. #define MOD_SEL1_23_22_21 FM(SEL_SSP1_0_0) FM(SEL_SSP1_0_1) FM(SEL_SSP1_0_2) FM(SEL_SSP1_0_3) FM(SEL_SSP1_0_4) F_(0, 0) F_(0, 0) F_(0, 0)
  493. #define MOD_SEL1_20 FM(SEL_SSI1_0) FM(SEL_SSI1_1)
  494. #define MOD_SEL1_19 FM(SEL_SPEED_PULSE_0) FM(SEL_SPEED_PULSE_1)
  495. #define MOD_SEL1_18_17 FM(SEL_SIMCARD_0) FM(SEL_SIMCARD_1) FM(SEL_SIMCARD_2) FM(SEL_SIMCARD_3)
  496. #define MOD_SEL1_16 FM(SEL_SDHI2_0) FM(SEL_SDHI2_1)
  497. #define MOD_SEL1_15_14 FM(SEL_SCIF4_0) FM(SEL_SCIF4_1) FM(SEL_SCIF4_2) F_(0, 0)
  498. #define MOD_SEL1_13 FM(SEL_SCIF3_0) FM(SEL_SCIF3_1)
  499. #define MOD_SEL1_12 FM(SEL_SCIF2_0) FM(SEL_SCIF2_1)
  500. #define MOD_SEL1_11 FM(SEL_SCIF1_0) FM(SEL_SCIF1_1)
  501. #define MOD_SEL1_10 FM(SEL_SCIF_0) FM(SEL_SCIF_1)
  502. #define MOD_SEL1_9 FM(SEL_REMOCON_0) FM(SEL_REMOCON_1)
  503. #define MOD_SEL1_6 FM(SEL_RCAN0_0) FM(SEL_RCAN0_1)
  504. #define MOD_SEL1_5 FM(SEL_PWM6_0) FM(SEL_PWM6_1)
  505. #define MOD_SEL1_4 FM(SEL_PWM5_0) FM(SEL_PWM5_1)
  506. #define MOD_SEL1_3 FM(SEL_PWM4_0) FM(SEL_PWM4_1)
  507. #define MOD_SEL1_2 FM(SEL_PWM3_0) FM(SEL_PWM3_1)
  508. #define MOD_SEL1_1 FM(SEL_PWM2_0) FM(SEL_PWM2_1)
  509. #define MOD_SEL1_0 FM(SEL_PWM1_0) FM(SEL_PWM1_1)
  510. /* MOD_SEL2 */ /* 0 */ /* 1 */ /* 2 */ /* 3 */
  511. #define MOD_SEL2_31 FM(I2C_SEL_5_0) FM(I2C_SEL_5_1)
  512. #define MOD_SEL2_30 FM(I2C_SEL_3_0) FM(I2C_SEL_3_1)
  513. #define MOD_SEL2_29 FM(I2C_SEL_0_0) FM(I2C_SEL_0_1)
  514. #define MOD_SEL2_28_27 FM(SEL_FM_0) FM(SEL_FM_1) FM(SEL_FM_2) FM(SEL_FM_3)
  515. #define MOD_SEL2_26 FM(SEL_SCIF5_0) FM(SEL_SCIF5_1)
  516. #define MOD_SEL2_25_24_23 FM(SEL_I2C6_0) FM(SEL_I2C6_1) FM(SEL_I2C6_2) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
  517. #define MOD_SEL2_21 FM(SEL_SSI2_0) FM(SEL_SSI2_1)
  518. #define MOD_SEL2_20 FM(SEL_SSI9_0) FM(SEL_SSI9_1)
  519. #define MOD_SEL2_19 FM(SEL_TIMER_TMU2_0) FM(SEL_TIMER_TMU2_1)
  520. #define MOD_SEL2_18 FM(SEL_ADGB_0) FM(SEL_ADGB_1)
  521. #define MOD_SEL2_17 FM(SEL_ADGC_0) FM(SEL_ADGC_1)
  522. #define MOD_SEL2_0 FM(SEL_VIN4_0) FM(SEL_VIN4_1)
  523. #define PINMUX_MOD_SELS \
  524. \
  525. MOD_SEL0_31_30_29 MOD_SEL1_31_30 MOD_SEL2_31 \
  526. MOD_SEL2_30 \
  527. MOD_SEL1_29_28_27 MOD_SEL2_29 \
  528. MOD_SEL0_28_27 MOD_SEL2_28_27 \
  529. MOD_SEL0_26_25_24 MOD_SEL1_26 MOD_SEL2_26 \
  530. MOD_SEL1_25_24 MOD_SEL2_25_24_23 \
  531. MOD_SEL0_23 MOD_SEL1_23_22_21 \
  532. MOD_SEL0_22 \
  533. MOD_SEL0_21 MOD_SEL2_21 \
  534. MOD_SEL0_20 MOD_SEL1_20 MOD_SEL2_20 \
  535. MOD_SEL0_19 MOD_SEL1_19 MOD_SEL2_19 \
  536. MOD_SEL0_18_17 MOD_SEL1_18_17 MOD_SEL2_18 \
  537. MOD_SEL2_17 \
  538. MOD_SEL0_16 MOD_SEL1_16 \
  539. MOD_SEL1_15_14 \
  540. MOD_SEL0_14_13 \
  541. MOD_SEL1_13 \
  542. MOD_SEL0_12 MOD_SEL1_12 \
  543. MOD_SEL0_11 MOD_SEL1_11 \
  544. MOD_SEL0_10 MOD_SEL1_10 \
  545. MOD_SEL0_9_8 MOD_SEL1_9 \
  546. MOD_SEL0_7_6 \
  547. MOD_SEL1_6 \
  548. MOD_SEL0_5 MOD_SEL1_5 \
  549. MOD_SEL0_4_3 MOD_SEL1_4 \
  550. MOD_SEL1_3 \
  551. MOD_SEL1_2 \
  552. MOD_SEL1_1 \
  553. MOD_SEL1_0 MOD_SEL2_0
  554. /*
  555. * These pins are not able to be muxed but have other properties
  556. * that can be set, such as drive-strength or pull-up/pull-down enable.
  557. */
  558. #define PINMUX_STATIC \
  559. FM(QSPI0_SPCLK) FM(QSPI0_SSL) FM(QSPI0_MOSI_IO0) FM(QSPI0_MISO_IO1) \
  560. FM(QSPI0_IO2) FM(QSPI0_IO3) \
  561. FM(QSPI1_SPCLK) FM(QSPI1_SSL) FM(QSPI1_MOSI_IO0) FM(QSPI1_MISO_IO1) \
  562. FM(QSPI1_IO2) FM(QSPI1_IO3) \
  563. FM(RPC_INT) FM(RPC_WP) FM(RPC_RESET) \
  564. FM(AVB_TX_CTL) FM(AVB_TXC) FM(AVB_TD0) FM(AVB_TD1) FM(AVB_TD2) FM(AVB_TD3) \
  565. FM(AVB_RX_CTL) FM(AVB_RXC) FM(AVB_RD0) FM(AVB_RD1) FM(AVB_RD2) FM(AVB_RD3) \
  566. FM(AVB_TXCREFCLK) FM(AVB_MDIO) \
  567. FM(PRESETOUT) \
  568. FM(DU_DOTCLKIN0) FM(DU_DOTCLKIN1) FM(DU_DOTCLKIN2) FM(DU_DOTCLKIN3) \
  569. FM(TMS) FM(TDO) FM(ASEBRK) FM(MLB_REF) FM(TDI) FM(TCK) FM(TRST) FM(EXTALR)
  570. #define PINMUX_PHYS \
  571. FM(SCL0) FM(SDA0) FM(SCL3) FM(SDA3) FM(SCL5) FM(SDA5)
  572. enum {
  573. PINMUX_RESERVED = 0,
  574. PINMUX_DATA_BEGIN,
  575. GP_ALL(DATA),
  576. PINMUX_DATA_END,
  577. #define F_(x, y)
  578. #define FM(x) FN_##x,
  579. PINMUX_FUNCTION_BEGIN,
  580. GP_ALL(FN),
  581. PINMUX_GPSR
  582. PINMUX_IPSR
  583. PINMUX_MOD_SELS
  584. PINMUX_FUNCTION_END,
  585. #undef F_
  586. #undef FM
  587. #define F_(x, y)
  588. #define FM(x) x##_MARK,
  589. PINMUX_MARK_BEGIN,
  590. PINMUX_GPSR
  591. PINMUX_IPSR
  592. PINMUX_MOD_SELS
  593. PINMUX_STATIC
  594. PINMUX_PHYS
  595. PINMUX_MARK_END,
  596. #undef F_
  597. #undef FM
  598. };
  599. static const u16 pinmux_data[] = {
  600. PINMUX_DATA_GP_ALL(),
  601. PINMUX_SINGLE(AVS1),
  602. PINMUX_SINGLE(AVS2),
  603. PINMUX_SINGLE(CLKOUT),
  604. PINMUX_SINGLE(GP7_02),
  605. PINMUX_SINGLE(GP7_03),
  606. PINMUX_SINGLE(MSIOF0_RXD),
  607. PINMUX_SINGLE(MSIOF0_SCK),
  608. PINMUX_SINGLE(MSIOF0_TXD),
  609. PINMUX_SINGLE(SSI_SCK5),
  610. PINMUX_SINGLE(SSI_SDATA5),
  611. PINMUX_SINGLE(SSI_WS5),
  612. /* IPSR0 */
  613. PINMUX_IPSR_GPSR(IP0_3_0, AVB_MDC),
  614. PINMUX_IPSR_MSEL(IP0_3_0, MSIOF2_SS2_C, SEL_MSIOF2_2),
  615. PINMUX_IPSR_GPSR(IP0_7_4, AVB_MAGIC),
  616. PINMUX_IPSR_MSEL(IP0_7_4, MSIOF2_SS1_C, SEL_MSIOF2_2),
  617. PINMUX_IPSR_MSEL(IP0_7_4, SCK4_A, SEL_SCIF4_0),
  618. PINMUX_IPSR_GPSR(IP0_11_8, AVB_PHY_INT),
  619. PINMUX_IPSR_MSEL(IP0_11_8, MSIOF2_SYNC_C, SEL_MSIOF2_2),
  620. PINMUX_IPSR_MSEL(IP0_11_8, RX4_A, SEL_SCIF4_0),
  621. PINMUX_IPSR_GPSR(IP0_15_12, AVB_LINK),
  622. PINMUX_IPSR_MSEL(IP0_15_12, MSIOF2_SCK_C, SEL_MSIOF2_2),
  623. PINMUX_IPSR_MSEL(IP0_15_12, TX4_A, SEL_SCIF4_0),
  624. PINMUX_IPSR_PHYS_MSEL(IP0_19_16, AVB_AVTP_MATCH_A, I2C_SEL_5_0, SEL_ETHERAVB_0),
  625. PINMUX_IPSR_PHYS_MSEL(IP0_19_16, MSIOF2_RXD_C, I2C_SEL_5_0, SEL_MSIOF2_2),
  626. PINMUX_IPSR_PHYS_MSEL(IP0_19_16, CTS4_N_A, I2C_SEL_5_0, SEL_SCIF4_0),
  627. PINMUX_IPSR_MSEL(IP0_19_16, FSCLKST2_N_A, I2C_SEL_5_0),
  628. PINMUX_IPSR_PHYS(IP0_19_16, SCL5, I2C_SEL_5_1),
  629. PINMUX_IPSR_PHYS_MSEL(IP0_23_20, AVB_AVTP_CAPTURE_A, I2C_SEL_5_0, SEL_ETHERAVB_0),
  630. PINMUX_IPSR_PHYS_MSEL(IP0_23_20, MSIOF2_TXD_C, I2C_SEL_5_0, SEL_MSIOF2_2),
  631. PINMUX_IPSR_PHYS_MSEL(IP0_23_20, RTS4_N_A, I2C_SEL_5_0, SEL_SCIF4_0),
  632. PINMUX_IPSR_PHYS(IP0_23_20, SDA5, I2C_SEL_5_1),
  633. PINMUX_IPSR_GPSR(IP0_27_24, IRQ0),
  634. PINMUX_IPSR_GPSR(IP0_27_24, QPOLB),
  635. PINMUX_IPSR_GPSR(IP0_27_24, DU_CDE),
  636. PINMUX_IPSR_MSEL(IP0_27_24, VI4_DATA0_B, SEL_VIN4_1),
  637. PINMUX_IPSR_MSEL(IP0_27_24, CAN0_TX_B, SEL_RCAN0_1),
  638. PINMUX_IPSR_MSEL(IP0_27_24, CANFD0_TX_B, SEL_CANFD0_1),
  639. PINMUX_IPSR_MSEL(IP0_27_24, MSIOF3_SS2_E, SEL_MSIOF3_4),
  640. PINMUX_IPSR_GPSR(IP0_31_28, IRQ1),
  641. PINMUX_IPSR_GPSR(IP0_31_28, QPOLA),
  642. PINMUX_IPSR_GPSR(IP0_31_28, DU_DISP),
  643. PINMUX_IPSR_MSEL(IP0_31_28, VI4_DATA1_B, SEL_VIN4_1),
  644. PINMUX_IPSR_MSEL(IP0_31_28, CAN0_RX_B, SEL_RCAN0_1),
  645. PINMUX_IPSR_MSEL(IP0_31_28, CANFD0_RX_B, SEL_CANFD0_1),
  646. PINMUX_IPSR_MSEL(IP0_31_28, MSIOF3_SS1_E, SEL_MSIOF3_4),
  647. /* IPSR1 */
  648. PINMUX_IPSR_GPSR(IP1_3_0, IRQ2),
  649. PINMUX_IPSR_GPSR(IP1_3_0, QCPV_QDE),
  650. PINMUX_IPSR_GPSR(IP1_3_0, DU_EXODDF_DU_ODDF_DISP_CDE),
  651. PINMUX_IPSR_MSEL(IP1_3_0, VI4_DATA2_B, SEL_VIN4_1),
  652. PINMUX_IPSR_MSEL(IP1_3_0, PWM3_B, SEL_PWM3_1),
  653. PINMUX_IPSR_MSEL(IP1_3_0, MSIOF3_SYNC_E, SEL_MSIOF3_4),
  654. PINMUX_IPSR_GPSR(IP1_7_4, IRQ3),
  655. PINMUX_IPSR_GPSR(IP1_7_4, QSTVB_QVE),
  656. PINMUX_IPSR_GPSR(IP1_7_4, DU_DOTCLKOUT1),
  657. PINMUX_IPSR_MSEL(IP1_7_4, VI4_DATA3_B, SEL_VIN4_1),
  658. PINMUX_IPSR_MSEL(IP1_7_4, PWM4_B, SEL_PWM4_1),
  659. PINMUX_IPSR_MSEL(IP1_7_4, MSIOF3_SCK_E, SEL_MSIOF3_4),
  660. PINMUX_IPSR_GPSR(IP1_11_8, IRQ4),
  661. PINMUX_IPSR_GPSR(IP1_11_8, QSTH_QHS),
  662. PINMUX_IPSR_GPSR(IP1_11_8, DU_EXHSYNC_DU_HSYNC),
  663. PINMUX_IPSR_MSEL(IP1_11_8, VI4_DATA4_B, SEL_VIN4_1),
  664. PINMUX_IPSR_MSEL(IP1_11_8, PWM5_B, SEL_PWM5_1),
  665. PINMUX_IPSR_MSEL(IP1_11_8, MSIOF3_RXD_E, SEL_MSIOF3_4),
  666. PINMUX_IPSR_GPSR(IP1_15_12, IRQ5),
  667. PINMUX_IPSR_GPSR(IP1_15_12, QSTB_QHE),
  668. PINMUX_IPSR_GPSR(IP1_15_12, DU_EXVSYNC_DU_VSYNC),
  669. PINMUX_IPSR_MSEL(IP1_15_12, VI4_DATA5_B, SEL_VIN4_1),
  670. PINMUX_IPSR_MSEL(IP1_15_12, PWM6_B, SEL_PWM6_1),
  671. PINMUX_IPSR_GPSR(IP1_15_12, FSCLKST2_N_B),
  672. PINMUX_IPSR_MSEL(IP1_15_12, MSIOF3_TXD_E, SEL_MSIOF3_4),
  673. PINMUX_IPSR_GPSR(IP1_19_16, PWM0),
  674. PINMUX_IPSR_GPSR(IP1_19_16, AVB_AVTP_PPS),
  675. PINMUX_IPSR_MSEL(IP1_19_16, VI4_DATA6_B, SEL_VIN4_1),
  676. PINMUX_IPSR_MSEL(IP1_19_16, IECLK_B, SEL_IEBUS_1),
  677. PINMUX_IPSR_PHYS_MSEL(IP1_23_20, PWM1_A, I2C_SEL_3_0, SEL_PWM1_0),
  678. PINMUX_IPSR_PHYS_MSEL(IP1_23_20, HRX3_D, I2C_SEL_3_0, SEL_HSCIF3_3),
  679. PINMUX_IPSR_PHYS_MSEL(IP1_23_20, VI4_DATA7_B, I2C_SEL_3_0, SEL_VIN4_1),
  680. PINMUX_IPSR_PHYS_MSEL(IP1_23_20, IERX_B, I2C_SEL_3_0, SEL_IEBUS_1),
  681. PINMUX_IPSR_PHYS(IP1_23_20, SCL3, I2C_SEL_3_1),
  682. PINMUX_IPSR_PHYS_MSEL(IP1_27_24, PWM2_A, I2C_SEL_3_0, SEL_PWM2_0),
  683. PINMUX_IPSR_PHYS_MSEL(IP1_27_24, HTX3_D, I2C_SEL_3_0, SEL_HSCIF3_3),
  684. PINMUX_IPSR_PHYS_MSEL(IP1_27_24, IETX_B, I2C_SEL_3_0, SEL_IEBUS_1),
  685. PINMUX_IPSR_PHYS(IP1_27_24, SDA3, I2C_SEL_3_1),
  686. PINMUX_IPSR_GPSR(IP1_31_28, A0),
  687. PINMUX_IPSR_GPSR(IP1_31_28, LCDOUT16),
  688. PINMUX_IPSR_MSEL(IP1_31_28, MSIOF3_SYNC_B, SEL_MSIOF3_1),
  689. PINMUX_IPSR_GPSR(IP1_31_28, VI4_DATA8),
  690. PINMUX_IPSR_GPSR(IP1_31_28, DU_DB0),
  691. PINMUX_IPSR_MSEL(IP1_31_28, PWM3_A, SEL_PWM3_0),
  692. /* IPSR2 */
  693. PINMUX_IPSR_GPSR(IP2_3_0, A1),
  694. PINMUX_IPSR_GPSR(IP2_3_0, LCDOUT17),
  695. PINMUX_IPSR_MSEL(IP2_3_0, MSIOF3_TXD_B, SEL_MSIOF3_1),
  696. PINMUX_IPSR_GPSR(IP2_3_0, VI4_DATA9),
  697. PINMUX_IPSR_GPSR(IP2_3_0, DU_DB1),
  698. PINMUX_IPSR_MSEL(IP2_3_0, PWM4_A, SEL_PWM4_0),
  699. PINMUX_IPSR_GPSR(IP2_7_4, A2),
  700. PINMUX_IPSR_GPSR(IP2_7_4, LCDOUT18),
  701. PINMUX_IPSR_MSEL(IP2_7_4, MSIOF3_SCK_B, SEL_MSIOF3_1),
  702. PINMUX_IPSR_GPSR(IP2_7_4, VI4_DATA10),
  703. PINMUX_IPSR_GPSR(IP2_7_4, DU_DB2),
  704. PINMUX_IPSR_MSEL(IP2_7_4, PWM5_A, SEL_PWM5_0),
  705. PINMUX_IPSR_GPSR(IP2_11_8, A3),
  706. PINMUX_IPSR_GPSR(IP2_11_8, LCDOUT19),
  707. PINMUX_IPSR_MSEL(IP2_11_8, MSIOF3_RXD_B, SEL_MSIOF3_1),
  708. PINMUX_IPSR_GPSR(IP2_11_8, VI4_DATA11),
  709. PINMUX_IPSR_GPSR(IP2_11_8, DU_DB3),
  710. PINMUX_IPSR_MSEL(IP2_11_8, PWM6_A, SEL_PWM6_0),
  711. PINMUX_IPSR_GPSR(IP2_15_12, A4),
  712. PINMUX_IPSR_GPSR(IP2_15_12, LCDOUT20),
  713. PINMUX_IPSR_MSEL(IP2_15_12, MSIOF3_SS1_B, SEL_MSIOF3_1),
  714. PINMUX_IPSR_GPSR(IP2_15_12, VI4_DATA12),
  715. PINMUX_IPSR_GPSR(IP2_15_12, VI5_DATA12),
  716. PINMUX_IPSR_GPSR(IP2_15_12, DU_DB4),
  717. PINMUX_IPSR_GPSR(IP2_19_16, A5),
  718. PINMUX_IPSR_GPSR(IP2_19_16, LCDOUT21),
  719. PINMUX_IPSR_MSEL(IP2_19_16, MSIOF3_SS2_B, SEL_MSIOF3_1),
  720. PINMUX_IPSR_MSEL(IP2_19_16, SCK4_B, SEL_SCIF4_1),
  721. PINMUX_IPSR_GPSR(IP2_19_16, VI4_DATA13),
  722. PINMUX_IPSR_GPSR(IP2_19_16, VI5_DATA13),
  723. PINMUX_IPSR_GPSR(IP2_19_16, DU_DB5),
  724. PINMUX_IPSR_GPSR(IP2_23_20, A6),
  725. PINMUX_IPSR_GPSR(IP2_23_20, LCDOUT22),
  726. PINMUX_IPSR_MSEL(IP2_23_20, MSIOF2_SS1_A, SEL_MSIOF2_0),
  727. PINMUX_IPSR_MSEL(IP2_23_20, RX4_B, SEL_SCIF4_1),
  728. PINMUX_IPSR_GPSR(IP2_23_20, VI4_DATA14),
  729. PINMUX_IPSR_GPSR(IP2_23_20, VI5_DATA14),
  730. PINMUX_IPSR_GPSR(IP2_23_20, DU_DB6),
  731. PINMUX_IPSR_GPSR(IP2_27_24, A7),
  732. PINMUX_IPSR_GPSR(IP2_27_24, LCDOUT23),
  733. PINMUX_IPSR_MSEL(IP2_27_24, MSIOF2_SS2_A, SEL_MSIOF2_0),
  734. PINMUX_IPSR_MSEL(IP2_27_24, TX4_B, SEL_SCIF4_1),
  735. PINMUX_IPSR_GPSR(IP2_27_24, VI4_DATA15),
  736. PINMUX_IPSR_GPSR(IP2_27_24, VI5_DATA15),
  737. PINMUX_IPSR_GPSR(IP2_27_24, DU_DB7),
  738. PINMUX_IPSR_GPSR(IP2_31_28, A8),
  739. PINMUX_IPSR_MSEL(IP2_31_28, RX3_B, SEL_SCIF3_1),
  740. PINMUX_IPSR_MSEL(IP2_31_28, MSIOF2_SYNC_A, SEL_MSIOF2_0),
  741. PINMUX_IPSR_MSEL(IP2_31_28, HRX4_B, SEL_HSCIF4_1),
  742. PINMUX_IPSR_MSEL(IP2_31_28, SDA6_A, SEL_I2C6_0),
  743. PINMUX_IPSR_MSEL(IP2_31_28, AVB_AVTP_MATCH_B, SEL_ETHERAVB_1),
  744. PINMUX_IPSR_MSEL(IP2_31_28, PWM1_B, SEL_PWM1_1),
  745. /* IPSR3 */
  746. PINMUX_IPSR_GPSR(IP3_3_0, A9),
  747. PINMUX_IPSR_MSEL(IP3_3_0, MSIOF2_SCK_A, SEL_MSIOF2_0),
  748. PINMUX_IPSR_MSEL(IP3_3_0, CTS4_N_B, SEL_SCIF4_1),
  749. PINMUX_IPSR_GPSR(IP3_3_0, VI5_VSYNC_N),
  750. PINMUX_IPSR_GPSR(IP3_7_4, A10),
  751. PINMUX_IPSR_MSEL(IP3_7_4, MSIOF2_RXD_A, SEL_MSIOF2_0),
  752. PINMUX_IPSR_MSEL(IP3_7_4, RTS4_N_B, SEL_SCIF4_1),
  753. PINMUX_IPSR_GPSR(IP3_7_4, VI5_HSYNC_N),
  754. PINMUX_IPSR_GPSR(IP3_11_8, A11),
  755. PINMUX_IPSR_MSEL(IP3_11_8, TX3_B, SEL_SCIF3_1),
  756. PINMUX_IPSR_MSEL(IP3_11_8, MSIOF2_TXD_A, SEL_MSIOF2_0),
  757. PINMUX_IPSR_MSEL(IP3_11_8, HTX4_B, SEL_HSCIF4_1),
  758. PINMUX_IPSR_GPSR(IP3_11_8, HSCK4),
  759. PINMUX_IPSR_GPSR(IP3_11_8, VI5_FIELD),
  760. PINMUX_IPSR_MSEL(IP3_11_8, SCL6_A, SEL_I2C6_0),
  761. PINMUX_IPSR_MSEL(IP3_11_8, AVB_AVTP_CAPTURE_B, SEL_ETHERAVB_1),
  762. PINMUX_IPSR_MSEL(IP3_11_8, PWM2_B, SEL_PWM2_1),
  763. PINMUX_IPSR_GPSR(IP3_15_12, A12),
  764. PINMUX_IPSR_GPSR(IP3_15_12, LCDOUT12),
  765. PINMUX_IPSR_MSEL(IP3_15_12, MSIOF3_SCK_C, SEL_MSIOF3_2),
  766. PINMUX_IPSR_MSEL(IP3_15_12, HRX4_A, SEL_HSCIF4_0),
  767. PINMUX_IPSR_GPSR(IP3_15_12, VI5_DATA8),
  768. PINMUX_IPSR_GPSR(IP3_15_12, DU_DG4),
  769. PINMUX_IPSR_GPSR(IP3_19_16, A13),
  770. PINMUX_IPSR_GPSR(IP3_19_16, LCDOUT13),
  771. PINMUX_IPSR_MSEL(IP3_19_16, MSIOF3_SYNC_C, SEL_MSIOF3_2),
  772. PINMUX_IPSR_MSEL(IP3_19_16, HTX4_A, SEL_HSCIF4_0),
  773. PINMUX_IPSR_GPSR(IP3_19_16, VI5_DATA9),
  774. PINMUX_IPSR_GPSR(IP3_19_16, DU_DG5),
  775. PINMUX_IPSR_GPSR(IP3_23_20, A14),
  776. PINMUX_IPSR_GPSR(IP3_23_20, LCDOUT14),
  777. PINMUX_IPSR_MSEL(IP3_23_20, MSIOF3_RXD_C, SEL_MSIOF3_2),
  778. PINMUX_IPSR_GPSR(IP3_23_20, HCTS4_N),
  779. PINMUX_IPSR_GPSR(IP3_23_20, VI5_DATA10),
  780. PINMUX_IPSR_GPSR(IP3_23_20, DU_DG6),
  781. PINMUX_IPSR_GPSR(IP3_27_24, A15),
  782. PINMUX_IPSR_GPSR(IP3_27_24, LCDOUT15),
  783. PINMUX_IPSR_MSEL(IP3_27_24, MSIOF3_TXD_C, SEL_MSIOF3_2),
  784. PINMUX_IPSR_GPSR(IP3_27_24, HRTS4_N),
  785. PINMUX_IPSR_GPSR(IP3_27_24, VI5_DATA11),
  786. PINMUX_IPSR_GPSR(IP3_27_24, DU_DG7),
  787. PINMUX_IPSR_GPSR(IP3_31_28, A16),
  788. PINMUX_IPSR_GPSR(IP3_31_28, LCDOUT8),
  789. PINMUX_IPSR_GPSR(IP3_31_28, VI4_FIELD),
  790. PINMUX_IPSR_GPSR(IP3_31_28, DU_DG0),
  791. /* IPSR4 */
  792. PINMUX_IPSR_GPSR(IP4_3_0, A17),
  793. PINMUX_IPSR_GPSR(IP4_3_0, LCDOUT9),
  794. PINMUX_IPSR_GPSR(IP4_3_0, VI4_VSYNC_N),
  795. PINMUX_IPSR_GPSR(IP4_3_0, DU_DG1),
  796. PINMUX_IPSR_GPSR(IP4_7_4, A18),
  797. PINMUX_IPSR_GPSR(IP4_7_4, LCDOUT10),
  798. PINMUX_IPSR_GPSR(IP4_7_4, VI4_HSYNC_N),
  799. PINMUX_IPSR_GPSR(IP4_7_4, DU_DG2),
  800. PINMUX_IPSR_GPSR(IP4_11_8, A19),
  801. PINMUX_IPSR_GPSR(IP4_11_8, LCDOUT11),
  802. PINMUX_IPSR_GPSR(IP4_11_8, VI4_CLKENB),
  803. PINMUX_IPSR_GPSR(IP4_11_8, DU_DG3),
  804. PINMUX_IPSR_GPSR(IP4_15_12, CS0_N),
  805. PINMUX_IPSR_GPSR(IP4_15_12, VI5_CLKENB),
  806. PINMUX_IPSR_GPSR(IP4_19_16, CS1_N),
  807. PINMUX_IPSR_GPSR(IP4_19_16, VI5_CLK),
  808. PINMUX_IPSR_MSEL(IP4_19_16, EX_WAIT0_B, SEL_LBSC_1),
  809. PINMUX_IPSR_GPSR(IP4_23_20, BS_N),
  810. PINMUX_IPSR_GPSR(IP4_23_20, QSTVA_QVS),
  811. PINMUX_IPSR_MSEL(IP4_23_20, MSIOF3_SCK_D, SEL_MSIOF3_3),
  812. PINMUX_IPSR_GPSR(IP4_23_20, SCK3),
  813. PINMUX_IPSR_GPSR(IP4_23_20, HSCK3),
  814. PINMUX_IPSR_GPSR(IP4_23_20, CAN1_TX),
  815. PINMUX_IPSR_GPSR(IP4_23_20, CANFD1_TX),
  816. PINMUX_IPSR_MSEL(IP4_23_20, IETX_A, SEL_IEBUS_0),
  817. PINMUX_IPSR_GPSR(IP4_27_24, RD_N),
  818. PINMUX_IPSR_MSEL(IP4_27_24, MSIOF3_SYNC_D, SEL_MSIOF3_3),
  819. PINMUX_IPSR_MSEL(IP4_27_24, RX3_A, SEL_SCIF3_0),
  820. PINMUX_IPSR_MSEL(IP4_27_24, HRX3_A, SEL_HSCIF3_0),
  821. PINMUX_IPSR_MSEL(IP4_27_24, CAN0_TX_A, SEL_RCAN0_0),
  822. PINMUX_IPSR_MSEL(IP4_27_24, CANFD0_TX_A, SEL_CANFD0_0),
  823. PINMUX_IPSR_GPSR(IP4_31_28, RD_WR_N),
  824. PINMUX_IPSR_MSEL(IP4_31_28, MSIOF3_RXD_D, SEL_MSIOF3_3),
  825. PINMUX_IPSR_MSEL(IP4_31_28, TX3_A, SEL_SCIF3_0),
  826. PINMUX_IPSR_MSEL(IP4_31_28, HTX3_A, SEL_HSCIF3_0),
  827. PINMUX_IPSR_MSEL(IP4_31_28, CAN0_RX_A, SEL_RCAN0_0),
  828. PINMUX_IPSR_MSEL(IP4_31_28, CANFD0_RX_A, SEL_CANFD0_0),
  829. /* IPSR5 */
  830. PINMUX_IPSR_GPSR(IP5_3_0, WE0_N),
  831. PINMUX_IPSR_MSEL(IP5_3_0, MSIOF3_TXD_D, SEL_MSIOF3_3),
  832. PINMUX_IPSR_GPSR(IP5_3_0, CTS3_N),
  833. PINMUX_IPSR_GPSR(IP5_3_0, HCTS3_N),
  834. PINMUX_IPSR_MSEL(IP5_3_0, SCL6_B, SEL_I2C6_1),
  835. PINMUX_IPSR_GPSR(IP5_3_0, CAN_CLK),
  836. PINMUX_IPSR_MSEL(IP5_3_0, IECLK_A, SEL_IEBUS_0),
  837. PINMUX_IPSR_GPSR(IP5_7_4, WE1_N),
  838. PINMUX_IPSR_MSEL(IP5_7_4, MSIOF3_SS1_D, SEL_MSIOF3_3),
  839. PINMUX_IPSR_GPSR(IP5_7_4, RTS3_N),
  840. PINMUX_IPSR_GPSR(IP5_7_4, HRTS3_N),
  841. PINMUX_IPSR_MSEL(IP5_7_4, SDA6_B, SEL_I2C6_1),
  842. PINMUX_IPSR_GPSR(IP5_7_4, CAN1_RX),
  843. PINMUX_IPSR_GPSR(IP5_7_4, CANFD1_RX),
  844. PINMUX_IPSR_MSEL(IP5_7_4, IERX_A, SEL_IEBUS_0),
  845. PINMUX_IPSR_MSEL(IP5_11_8, EX_WAIT0_A, SEL_LBSC_0),
  846. PINMUX_IPSR_GPSR(IP5_11_8, QCLK),
  847. PINMUX_IPSR_GPSR(IP5_11_8, VI4_CLK),
  848. PINMUX_IPSR_GPSR(IP5_11_8, DU_DOTCLKOUT0),
  849. PINMUX_IPSR_GPSR(IP5_15_12, D0),
  850. PINMUX_IPSR_MSEL(IP5_15_12, MSIOF2_SS1_B, SEL_MSIOF2_1),
  851. PINMUX_IPSR_MSEL(IP5_15_12, MSIOF3_SCK_A, SEL_MSIOF3_0),
  852. PINMUX_IPSR_GPSR(IP5_15_12, VI4_DATA16),
  853. PINMUX_IPSR_GPSR(IP5_15_12, VI5_DATA0),
  854. PINMUX_IPSR_GPSR(IP5_19_16, D1),
  855. PINMUX_IPSR_MSEL(IP5_19_16, MSIOF2_SS2_B, SEL_MSIOF2_1),
  856. PINMUX_IPSR_MSEL(IP5_19_16, MSIOF3_SYNC_A, SEL_MSIOF3_0),
  857. PINMUX_IPSR_GPSR(IP5_19_16, VI4_DATA17),
  858. PINMUX_IPSR_GPSR(IP5_19_16, VI5_DATA1),
  859. PINMUX_IPSR_GPSR(IP5_23_20, D2),
  860. PINMUX_IPSR_MSEL(IP5_23_20, MSIOF3_RXD_A, SEL_MSIOF3_0),
  861. PINMUX_IPSR_GPSR(IP5_23_20, VI4_DATA18),
  862. PINMUX_IPSR_GPSR(IP5_23_20, VI5_DATA2),
  863. PINMUX_IPSR_GPSR(IP5_27_24, D3),
  864. PINMUX_IPSR_MSEL(IP5_27_24, MSIOF3_TXD_A, SEL_MSIOF3_0),
  865. PINMUX_IPSR_GPSR(IP5_27_24, VI4_DATA19),
  866. PINMUX_IPSR_GPSR(IP5_27_24, VI5_DATA3),
  867. PINMUX_IPSR_GPSR(IP5_31_28, D4),
  868. PINMUX_IPSR_MSEL(IP5_31_28, MSIOF2_SCK_B, SEL_MSIOF2_1),
  869. PINMUX_IPSR_GPSR(IP5_31_28, VI4_DATA20),
  870. PINMUX_IPSR_GPSR(IP5_31_28, VI5_DATA4),
  871. /* IPSR6 */
  872. PINMUX_IPSR_GPSR(IP6_3_0, D5),
  873. PINMUX_IPSR_MSEL(IP6_3_0, MSIOF2_SYNC_B, SEL_MSIOF2_1),
  874. PINMUX_IPSR_GPSR(IP6_3_0, VI4_DATA21),
  875. PINMUX_IPSR_GPSR(IP6_3_0, VI5_DATA5),
  876. PINMUX_IPSR_GPSR(IP6_7_4, D6),
  877. PINMUX_IPSR_MSEL(IP6_7_4, MSIOF2_RXD_B, SEL_MSIOF2_1),
  878. PINMUX_IPSR_GPSR(IP6_7_4, VI4_DATA22),
  879. PINMUX_IPSR_GPSR(IP6_7_4, VI5_DATA6),
  880. PINMUX_IPSR_GPSR(IP6_11_8, D7),
  881. PINMUX_IPSR_MSEL(IP6_11_8, MSIOF2_TXD_B, SEL_MSIOF2_1),
  882. PINMUX_IPSR_GPSR(IP6_11_8, VI4_DATA23),
  883. PINMUX_IPSR_GPSR(IP6_11_8, VI5_DATA7),
  884. PINMUX_IPSR_GPSR(IP6_15_12, D8),
  885. PINMUX_IPSR_GPSR(IP6_15_12, LCDOUT0),
  886. PINMUX_IPSR_MSEL(IP6_15_12, MSIOF2_SCK_D, SEL_MSIOF2_3),
  887. PINMUX_IPSR_MSEL(IP6_15_12, SCK4_C, SEL_SCIF4_2),
  888. PINMUX_IPSR_MSEL(IP6_15_12, VI4_DATA0_A, SEL_VIN4_0),
  889. PINMUX_IPSR_GPSR(IP6_15_12, DU_DR0),
  890. PINMUX_IPSR_GPSR(IP6_19_16, D9),
  891. PINMUX_IPSR_GPSR(IP6_19_16, LCDOUT1),
  892. PINMUX_IPSR_MSEL(IP6_19_16, MSIOF2_SYNC_D, SEL_MSIOF2_3),
  893. PINMUX_IPSR_MSEL(IP6_19_16, VI4_DATA1_A, SEL_VIN4_0),
  894. PINMUX_IPSR_GPSR(IP6_19_16, DU_DR1),
  895. PINMUX_IPSR_GPSR(IP6_23_20, D10),
  896. PINMUX_IPSR_GPSR(IP6_23_20, LCDOUT2),
  897. PINMUX_IPSR_MSEL(IP6_23_20, MSIOF2_RXD_D, SEL_MSIOF2_3),
  898. PINMUX_IPSR_MSEL(IP6_23_20, HRX3_B, SEL_HSCIF3_1),
  899. PINMUX_IPSR_MSEL(IP6_23_20, VI4_DATA2_A, SEL_VIN4_0),
  900. PINMUX_IPSR_MSEL(IP6_23_20, CTS4_N_C, SEL_SCIF4_2),
  901. PINMUX_IPSR_GPSR(IP6_23_20, DU_DR2),
  902. PINMUX_IPSR_GPSR(IP6_27_24, D11),
  903. PINMUX_IPSR_GPSR(IP6_27_24, LCDOUT3),
  904. PINMUX_IPSR_MSEL(IP6_27_24, MSIOF2_TXD_D, SEL_MSIOF2_3),
  905. PINMUX_IPSR_MSEL(IP6_27_24, HTX3_B, SEL_HSCIF3_1),
  906. PINMUX_IPSR_MSEL(IP6_27_24, VI4_DATA3_A, SEL_VIN4_0),
  907. PINMUX_IPSR_MSEL(IP6_27_24, RTS4_N_C, SEL_SCIF4_2),
  908. PINMUX_IPSR_GPSR(IP6_27_24, DU_DR3),
  909. PINMUX_IPSR_GPSR(IP6_31_28, D12),
  910. PINMUX_IPSR_GPSR(IP6_31_28, LCDOUT4),
  911. PINMUX_IPSR_MSEL(IP6_31_28, MSIOF2_SS1_D, SEL_MSIOF2_3),
  912. PINMUX_IPSR_MSEL(IP6_31_28, RX4_C, SEL_SCIF4_2),
  913. PINMUX_IPSR_MSEL(IP6_31_28, VI4_DATA4_A, SEL_VIN4_0),
  914. PINMUX_IPSR_GPSR(IP6_31_28, DU_DR4),
  915. /* IPSR7 */
  916. PINMUX_IPSR_GPSR(IP7_3_0, D13),
  917. PINMUX_IPSR_GPSR(IP7_3_0, LCDOUT5),
  918. PINMUX_IPSR_MSEL(IP7_3_0, MSIOF2_SS2_D, SEL_MSIOF2_3),
  919. PINMUX_IPSR_MSEL(IP7_3_0, TX4_C, SEL_SCIF4_2),
  920. PINMUX_IPSR_MSEL(IP7_3_0, VI4_DATA5_A, SEL_VIN4_0),
  921. PINMUX_IPSR_GPSR(IP7_3_0, DU_DR5),
  922. PINMUX_IPSR_GPSR(IP7_7_4, D14),
  923. PINMUX_IPSR_GPSR(IP7_7_4, LCDOUT6),
  924. PINMUX_IPSR_MSEL(IP7_7_4, MSIOF3_SS1_A, SEL_MSIOF3_0),
  925. PINMUX_IPSR_MSEL(IP7_7_4, HRX3_C, SEL_HSCIF3_2),
  926. PINMUX_IPSR_MSEL(IP7_7_4, VI4_DATA6_A, SEL_VIN4_0),
  927. PINMUX_IPSR_GPSR(IP7_7_4, DU_DR6),
  928. PINMUX_IPSR_MSEL(IP7_7_4, SCL6_C, SEL_I2C6_2),
  929. PINMUX_IPSR_GPSR(IP7_11_8, D15),
  930. PINMUX_IPSR_GPSR(IP7_11_8, LCDOUT7),
  931. PINMUX_IPSR_MSEL(IP7_11_8, MSIOF3_SS2_A, SEL_MSIOF3_0),
  932. PINMUX_IPSR_MSEL(IP7_11_8, HTX3_C, SEL_HSCIF3_2),
  933. PINMUX_IPSR_MSEL(IP7_11_8, VI4_DATA7_A, SEL_VIN4_0),
  934. PINMUX_IPSR_GPSR(IP7_11_8, DU_DR7),
  935. PINMUX_IPSR_MSEL(IP7_11_8, SDA6_C, SEL_I2C6_2),
  936. PINMUX_IPSR_GPSR(IP7_19_16, SD0_CLK),
  937. PINMUX_IPSR_MSEL(IP7_19_16, MSIOF1_SCK_E, SEL_MSIOF1_4),
  938. PINMUX_IPSR_MSEL(IP7_19_16, STP_OPWM_0_B, SEL_SSP1_0_1),
  939. PINMUX_IPSR_GPSR(IP7_23_20, SD0_CMD),
  940. PINMUX_IPSR_MSEL(IP7_23_20, MSIOF1_SYNC_E, SEL_MSIOF1_4),
  941. PINMUX_IPSR_MSEL(IP7_23_20, STP_IVCXO27_0_B, SEL_SSP1_0_1),
  942. PINMUX_IPSR_GPSR(IP7_27_24, SD0_DAT0),
  943. PINMUX_IPSR_MSEL(IP7_27_24, MSIOF1_RXD_E, SEL_MSIOF1_4),
  944. PINMUX_IPSR_MSEL(IP7_27_24, TS_SCK0_B, SEL_TSIF0_1),
  945. PINMUX_IPSR_MSEL(IP7_27_24, STP_ISCLK_0_B, SEL_SSP1_0_1),
  946. PINMUX_IPSR_GPSR(IP7_31_28, SD0_DAT1),
  947. PINMUX_IPSR_MSEL(IP7_31_28, MSIOF1_TXD_E, SEL_MSIOF1_4),
  948. PINMUX_IPSR_MSEL(IP7_31_28, TS_SPSYNC0_B, SEL_TSIF0_1),
  949. PINMUX_IPSR_MSEL(IP7_31_28, STP_ISSYNC_0_B, SEL_SSP1_0_1),
  950. /* IPSR8 */
  951. PINMUX_IPSR_GPSR(IP8_3_0, SD0_DAT2),
  952. PINMUX_IPSR_MSEL(IP8_3_0, MSIOF1_SS1_E, SEL_MSIOF1_4),
  953. PINMUX_IPSR_MSEL(IP8_3_0, TS_SDAT0_B, SEL_TSIF0_1),
  954. PINMUX_IPSR_MSEL(IP8_3_0, STP_ISD_0_B, SEL_SSP1_0_1),
  955. PINMUX_IPSR_GPSR(IP8_7_4, SD0_DAT3),
  956. PINMUX_IPSR_MSEL(IP8_7_4, MSIOF1_SS2_E, SEL_MSIOF1_4),
  957. PINMUX_IPSR_MSEL(IP8_7_4, TS_SDEN0_B, SEL_TSIF0_1),
  958. PINMUX_IPSR_MSEL(IP8_7_4, STP_ISEN_0_B, SEL_SSP1_0_1),
  959. PINMUX_IPSR_GPSR(IP8_11_8, SD1_CLK),
  960. PINMUX_IPSR_MSEL(IP8_11_8, MSIOF1_SCK_G, SEL_MSIOF1_6),
  961. PINMUX_IPSR_MSEL(IP8_11_8, SIM0_CLK_A, SEL_SIMCARD_0),
  962. PINMUX_IPSR_GPSR(IP8_15_12, SD1_CMD),
  963. PINMUX_IPSR_MSEL(IP8_15_12, MSIOF1_SYNC_G, SEL_MSIOF1_6),
  964. PINMUX_IPSR_GPSR(IP8_15_12, NFCE_N_B),
  965. PINMUX_IPSR_MSEL(IP8_15_12, SIM0_D_A, SEL_SIMCARD_0),
  966. PINMUX_IPSR_MSEL(IP8_15_12, STP_IVCXO27_1_B, SEL_SSP1_1_1),
  967. PINMUX_IPSR_GPSR(IP8_19_16, SD1_DAT0),
  968. PINMUX_IPSR_GPSR(IP8_19_16, SD2_DAT4),
  969. PINMUX_IPSR_MSEL(IP8_19_16, MSIOF1_RXD_G, SEL_MSIOF1_6),
  970. PINMUX_IPSR_GPSR(IP8_19_16, NFWP_N_B),
  971. PINMUX_IPSR_MSEL(IP8_19_16, TS_SCK1_B, SEL_TSIF1_1),
  972. PINMUX_IPSR_MSEL(IP8_19_16, STP_ISCLK_1_B, SEL_SSP1_1_1),
  973. PINMUX_IPSR_GPSR(IP8_23_20, SD1_DAT1),
  974. PINMUX_IPSR_GPSR(IP8_23_20, SD2_DAT5),
  975. PINMUX_IPSR_MSEL(IP8_23_20, MSIOF1_TXD_G, SEL_MSIOF1_6),
  976. PINMUX_IPSR_GPSR(IP8_23_20, NFDATA14_B),
  977. PINMUX_IPSR_MSEL(IP8_23_20, TS_SPSYNC1_B, SEL_TSIF1_1),
  978. PINMUX_IPSR_MSEL(IP8_23_20, STP_ISSYNC_1_B, SEL_SSP1_1_1),
  979. PINMUX_IPSR_GPSR(IP8_27_24, SD1_DAT2),
  980. PINMUX_IPSR_GPSR(IP8_27_24, SD2_DAT6),
  981. PINMUX_IPSR_MSEL(IP8_27_24, MSIOF1_SS1_G, SEL_MSIOF1_6),
  982. PINMUX_IPSR_GPSR(IP8_27_24, NFDATA15_B),
  983. PINMUX_IPSR_MSEL(IP8_27_24, TS_SDAT1_B, SEL_TSIF1_1),
  984. PINMUX_IPSR_MSEL(IP8_27_24, STP_ISD_1_B, SEL_SSP1_1_1),
  985. PINMUX_IPSR_GPSR(IP8_31_28, SD1_DAT3),
  986. PINMUX_IPSR_GPSR(IP8_31_28, SD2_DAT7),
  987. PINMUX_IPSR_MSEL(IP8_31_28, MSIOF1_SS2_G, SEL_MSIOF1_6),
  988. PINMUX_IPSR_GPSR(IP8_31_28, NFRB_N_B),
  989. PINMUX_IPSR_MSEL(IP8_31_28, TS_SDEN1_B, SEL_TSIF1_1),
  990. PINMUX_IPSR_MSEL(IP8_31_28, STP_ISEN_1_B, SEL_SSP1_1_1),
  991. /* IPSR9 */
  992. PINMUX_IPSR_GPSR(IP9_3_0, SD2_CLK),
  993. PINMUX_IPSR_GPSR(IP9_3_0, NFDATA8),
  994. PINMUX_IPSR_GPSR(IP9_7_4, SD2_CMD),
  995. PINMUX_IPSR_GPSR(IP9_7_4, NFDATA9),
  996. PINMUX_IPSR_GPSR(IP9_11_8, SD2_DAT0),
  997. PINMUX_IPSR_GPSR(IP9_11_8, NFDATA10),
  998. PINMUX_IPSR_GPSR(IP9_15_12, SD2_DAT1),
  999. PINMUX_IPSR_GPSR(IP9_15_12, NFDATA11),
  1000. PINMUX_IPSR_GPSR(IP9_19_16, SD2_DAT2),
  1001. PINMUX_IPSR_GPSR(IP9_19_16, NFDATA12),
  1002. PINMUX_IPSR_GPSR(IP9_23_20, SD2_DAT3),
  1003. PINMUX_IPSR_GPSR(IP9_23_20, NFDATA13),
  1004. PINMUX_IPSR_GPSR(IP9_27_24, SD2_DS),
  1005. PINMUX_IPSR_GPSR(IP9_27_24, NFALE),
  1006. PINMUX_IPSR_GPSR(IP9_27_24, SATA_DEVSLP_B),
  1007. PINMUX_IPSR_GPSR(IP9_31_28, SD3_CLK),
  1008. PINMUX_IPSR_GPSR(IP9_31_28, NFWE_N),
  1009. /* IPSR10 */
  1010. PINMUX_IPSR_GPSR(IP10_3_0, SD3_CMD),
  1011. PINMUX_IPSR_GPSR(IP10_3_0, NFRE_N),
  1012. PINMUX_IPSR_GPSR(IP10_7_4, SD3_DAT0),
  1013. PINMUX_IPSR_GPSR(IP10_7_4, NFDATA0),
  1014. PINMUX_IPSR_GPSR(IP10_11_8, SD3_DAT1),
  1015. PINMUX_IPSR_GPSR(IP10_11_8, NFDATA1),
  1016. PINMUX_IPSR_GPSR(IP10_15_12, SD3_DAT2),
  1017. PINMUX_IPSR_GPSR(IP10_15_12, NFDATA2),
  1018. PINMUX_IPSR_GPSR(IP10_19_16, SD3_DAT3),
  1019. PINMUX_IPSR_GPSR(IP10_19_16, NFDATA3),
  1020. PINMUX_IPSR_GPSR(IP10_23_20, SD3_DAT4),
  1021. PINMUX_IPSR_MSEL(IP10_23_20, SD2_CD_A, SEL_SDHI2_0),
  1022. PINMUX_IPSR_GPSR(IP10_23_20, NFDATA4),
  1023. PINMUX_IPSR_GPSR(IP10_27_24, SD3_DAT5),
  1024. PINMUX_IPSR_MSEL(IP10_27_24, SD2_WP_A, SEL_SDHI2_0),
  1025. PINMUX_IPSR_GPSR(IP10_27_24, NFDATA5),
  1026. PINMUX_IPSR_GPSR(IP10_31_28, SD3_DAT6),
  1027. PINMUX_IPSR_GPSR(IP10_31_28, SD3_CD),
  1028. PINMUX_IPSR_GPSR(IP10_31_28, NFDATA6),
  1029. /* IPSR11 */
  1030. PINMUX_IPSR_GPSR(IP11_3_0, SD3_DAT7),
  1031. PINMUX_IPSR_GPSR(IP11_3_0, SD3_WP),
  1032. PINMUX_IPSR_GPSR(IP11_3_0, NFDATA7),
  1033. PINMUX_IPSR_GPSR(IP11_7_4, SD3_DS),
  1034. PINMUX_IPSR_GPSR(IP11_7_4, NFCLE),
  1035. PINMUX_IPSR_GPSR(IP11_11_8, SD0_CD),
  1036. PINMUX_IPSR_MSEL(IP11_11_8, SCL2_B, SEL_I2C2_1),
  1037. PINMUX_IPSR_MSEL(IP11_11_8, SIM0_RST_A, SEL_SIMCARD_0),
  1038. PINMUX_IPSR_GPSR(IP11_15_12, SD0_WP),
  1039. PINMUX_IPSR_MSEL(IP11_15_12, SDA2_B, SEL_I2C2_1),
  1040. PINMUX_IPSR_MSEL(IP11_19_16, SD1_CD, I2C_SEL_0_0),
  1041. PINMUX_IPSR_PHYS_MSEL(IP11_19_16, SIM0_CLK_B, I2C_SEL_0_0, SEL_SIMCARD_1),
  1042. PINMUX_IPSR_PHYS(IP11_19_16, SCL0, I2C_SEL_0_1),
  1043. PINMUX_IPSR_MSEL(IP11_23_20, SD1_WP, I2C_SEL_0_0),
  1044. PINMUX_IPSR_PHYS_MSEL(IP11_23_20, SIM0_D_B, I2C_SEL_0_0, SEL_SIMCARD_1),
  1045. PINMUX_IPSR_PHYS(IP11_23_20, SDA0, I2C_SEL_0_1),
  1046. PINMUX_IPSR_GPSR(IP11_27_24, SCK0),
  1047. PINMUX_IPSR_MSEL(IP11_27_24, HSCK1_B, SEL_HSCIF1_1),
  1048. PINMUX_IPSR_MSEL(IP11_27_24, MSIOF1_SS2_B, SEL_MSIOF1_1),
  1049. PINMUX_IPSR_MSEL(IP11_27_24, AUDIO_CLKC_B, SEL_ADGC_1),
  1050. PINMUX_IPSR_MSEL(IP11_27_24, SDA2_A, SEL_I2C2_0),
  1051. PINMUX_IPSR_MSEL(IP11_27_24, SIM0_RST_B, SEL_SIMCARD_1),
  1052. PINMUX_IPSR_MSEL(IP11_27_24, STP_OPWM_0_C, SEL_SSP1_0_2),
  1053. PINMUX_IPSR_MSEL(IP11_27_24, RIF0_CLK_B, SEL_DRIF0_1),
  1054. PINMUX_IPSR_GPSR(IP11_27_24, ADICHS2),
  1055. PINMUX_IPSR_MSEL(IP11_27_24, SCK5_B, SEL_SCIF5_1),
  1056. PINMUX_IPSR_GPSR(IP11_31_28, RX0),
  1057. PINMUX_IPSR_MSEL(IP11_31_28, HRX1_B, SEL_HSCIF1_1),
  1058. PINMUX_IPSR_MSEL(IP11_31_28, TS_SCK0_C, SEL_TSIF0_2),
  1059. PINMUX_IPSR_MSEL(IP11_31_28, STP_ISCLK_0_C, SEL_SSP1_0_2),
  1060. PINMUX_IPSR_MSEL(IP11_31_28, RIF0_D0_B, SEL_DRIF0_1),
  1061. /* IPSR12 */
  1062. PINMUX_IPSR_GPSR(IP12_3_0, TX0),
  1063. PINMUX_IPSR_MSEL(IP12_3_0, HTX1_B, SEL_HSCIF1_1),
  1064. PINMUX_IPSR_MSEL(IP12_3_0, TS_SPSYNC0_C, SEL_TSIF0_2),
  1065. PINMUX_IPSR_MSEL(IP12_3_0, STP_ISSYNC_0_C, SEL_SSP1_0_2),
  1066. PINMUX_IPSR_MSEL(IP12_3_0, RIF0_D1_B, SEL_DRIF0_1),
  1067. PINMUX_IPSR_GPSR(IP12_7_4, CTS0_N),
  1068. PINMUX_IPSR_MSEL(IP12_7_4, HCTS1_N_B, SEL_HSCIF1_1),
  1069. PINMUX_IPSR_MSEL(IP12_7_4, MSIOF1_SYNC_B, SEL_MSIOF1_1),
  1070. PINMUX_IPSR_MSEL(IP12_7_4, TS_SPSYNC1_C, SEL_TSIF1_2),
  1071. PINMUX_IPSR_MSEL(IP12_7_4, STP_ISSYNC_1_C, SEL_SSP1_1_2),
  1072. PINMUX_IPSR_MSEL(IP12_7_4, RIF1_SYNC_B, SEL_DRIF1_1),
  1073. PINMUX_IPSR_GPSR(IP12_7_4, AUDIO_CLKOUT_C),
  1074. PINMUX_IPSR_GPSR(IP12_7_4, ADICS_SAMP),
  1075. PINMUX_IPSR_GPSR(IP12_11_8, RTS0_N),
  1076. PINMUX_IPSR_MSEL(IP12_11_8, HRTS1_N_B, SEL_HSCIF1_1),
  1077. PINMUX_IPSR_MSEL(IP12_11_8, MSIOF1_SS1_B, SEL_MSIOF1_1),
  1078. PINMUX_IPSR_MSEL(IP12_11_8, AUDIO_CLKA_B, SEL_ADGA_1),
  1079. PINMUX_IPSR_MSEL(IP12_11_8, SCL2_A, SEL_I2C2_0),
  1080. PINMUX_IPSR_MSEL(IP12_11_8, STP_IVCXO27_1_C, SEL_SSP1_1_2),
  1081. PINMUX_IPSR_MSEL(IP12_11_8, RIF0_SYNC_B, SEL_DRIF0_1),
  1082. PINMUX_IPSR_GPSR(IP12_11_8, ADICHS1),
  1083. PINMUX_IPSR_MSEL(IP12_15_12, RX1_A, SEL_SCIF1_0),
  1084. PINMUX_IPSR_MSEL(IP12_15_12, HRX1_A, SEL_HSCIF1_0),
  1085. PINMUX_IPSR_MSEL(IP12_15_12, TS_SDAT0_C, SEL_TSIF0_2),
  1086. PINMUX_IPSR_MSEL(IP12_15_12, STP_ISD_0_C, SEL_SSP1_0_2),
  1087. PINMUX_IPSR_MSEL(IP12_15_12, RIF1_CLK_C, SEL_DRIF1_2),
  1088. PINMUX_IPSR_MSEL(IP12_19_16, TX1_A, SEL_SCIF1_0),
  1089. PINMUX_IPSR_MSEL(IP12_19_16, HTX1_A, SEL_HSCIF1_0),
  1090. PINMUX_IPSR_MSEL(IP12_19_16, TS_SDEN0_C, SEL_TSIF0_2),
  1091. PINMUX_IPSR_MSEL(IP12_19_16, STP_ISEN_0_C, SEL_SSP1_0_2),
  1092. PINMUX_IPSR_MSEL(IP12_19_16, RIF1_D0_C, SEL_DRIF1_2),
  1093. PINMUX_IPSR_GPSR(IP12_23_20, CTS1_N),
  1094. PINMUX_IPSR_MSEL(IP12_23_20, HCTS1_N_A, SEL_HSCIF1_0),
  1095. PINMUX_IPSR_MSEL(IP12_23_20, MSIOF1_RXD_B, SEL_MSIOF1_1),
  1096. PINMUX_IPSR_MSEL(IP12_23_20, TS_SDEN1_C, SEL_TSIF1_2),
  1097. PINMUX_IPSR_MSEL(IP12_23_20, STP_ISEN_1_C, SEL_SSP1_1_2),
  1098. PINMUX_IPSR_MSEL(IP12_23_20, RIF1_D0_B, SEL_DRIF1_1),
  1099. PINMUX_IPSR_GPSR(IP12_23_20, ADIDATA),
  1100. PINMUX_IPSR_GPSR(IP12_27_24, RTS1_N),
  1101. PINMUX_IPSR_MSEL(IP12_27_24, HRTS1_N_A, SEL_HSCIF1_0),
  1102. PINMUX_IPSR_MSEL(IP12_27_24, MSIOF1_TXD_B, SEL_MSIOF1_1),
  1103. PINMUX_IPSR_MSEL(IP12_27_24, TS_SDAT1_C, SEL_TSIF1_2),
  1104. PINMUX_IPSR_MSEL(IP12_27_24, STP_ISD_1_C, SEL_SSP1_1_2),
  1105. PINMUX_IPSR_MSEL(IP12_27_24, RIF1_D1_B, SEL_DRIF1_1),
  1106. PINMUX_IPSR_GPSR(IP12_27_24, ADICHS0),
  1107. PINMUX_IPSR_GPSR(IP12_31_28, SCK2),
  1108. PINMUX_IPSR_MSEL(IP12_31_28, SCIF_CLK_B, SEL_SCIF_1),
  1109. PINMUX_IPSR_MSEL(IP12_31_28, MSIOF1_SCK_B, SEL_MSIOF1_1),
  1110. PINMUX_IPSR_MSEL(IP12_31_28, TS_SCK1_C, SEL_TSIF1_2),
  1111. PINMUX_IPSR_MSEL(IP12_31_28, STP_ISCLK_1_C, SEL_SSP1_1_2),
  1112. PINMUX_IPSR_MSEL(IP12_31_28, RIF1_CLK_B, SEL_DRIF1_1),
  1113. PINMUX_IPSR_GPSR(IP12_31_28, ADICLK),
  1114. /* IPSR13 */
  1115. PINMUX_IPSR_MSEL(IP13_3_0, TX2_A, SEL_SCIF2_0),
  1116. PINMUX_IPSR_MSEL(IP13_3_0, SD2_CD_B, SEL_SDHI2_1),
  1117. PINMUX_IPSR_MSEL(IP13_3_0, SCL1_A, SEL_I2C1_0),
  1118. PINMUX_IPSR_MSEL(IP13_3_0, FMCLK_A, SEL_FM_0),
  1119. PINMUX_IPSR_MSEL(IP13_3_0, RIF1_D1_C, SEL_DRIF1_2),
  1120. PINMUX_IPSR_GPSR(IP13_3_0, FSO_CFE_0_N),
  1121. PINMUX_IPSR_MSEL(IP13_7_4, RX2_A, SEL_SCIF2_0),
  1122. PINMUX_IPSR_MSEL(IP13_7_4, SD2_WP_B, SEL_SDHI2_1),
  1123. PINMUX_IPSR_MSEL(IP13_7_4, SDA1_A, SEL_I2C1_0),
  1124. PINMUX_IPSR_MSEL(IP13_7_4, FMIN_A, SEL_FM_0),
  1125. PINMUX_IPSR_MSEL(IP13_7_4, RIF1_SYNC_C, SEL_DRIF1_2),
  1126. PINMUX_IPSR_GPSR(IP13_7_4, FSO_CFE_1_N),
  1127. PINMUX_IPSR_GPSR(IP13_11_8, HSCK0),
  1128. PINMUX_IPSR_MSEL(IP13_11_8, MSIOF1_SCK_D, SEL_MSIOF1_3),
  1129. PINMUX_IPSR_MSEL(IP13_11_8, AUDIO_CLKB_A, SEL_ADGB_0),
  1130. PINMUX_IPSR_MSEL(IP13_11_8, SSI_SDATA1_B, SEL_SSI1_1),
  1131. PINMUX_IPSR_MSEL(IP13_11_8, TS_SCK0_D, SEL_TSIF0_3),
  1132. PINMUX_IPSR_MSEL(IP13_11_8, STP_ISCLK_0_D, SEL_SSP1_0_3),
  1133. PINMUX_IPSR_MSEL(IP13_11_8, RIF0_CLK_C, SEL_DRIF0_2),
  1134. PINMUX_IPSR_MSEL(IP13_11_8, RX5_B, SEL_SCIF5_1),
  1135. PINMUX_IPSR_GPSR(IP13_15_12, HRX0),
  1136. PINMUX_IPSR_MSEL(IP13_15_12, MSIOF1_RXD_D, SEL_MSIOF1_3),
  1137. PINMUX_IPSR_MSEL(IP13_15_12, SSI_SDATA2_B, SEL_SSI2_1),
  1138. PINMUX_IPSR_MSEL(IP13_15_12, TS_SDEN0_D, SEL_TSIF0_3),
  1139. PINMUX_IPSR_MSEL(IP13_15_12, STP_ISEN_0_D, SEL_SSP1_0_3),
  1140. PINMUX_IPSR_MSEL(IP13_15_12, RIF0_D0_C, SEL_DRIF0_2),
  1141. PINMUX_IPSR_GPSR(IP13_19_16, HTX0),
  1142. PINMUX_IPSR_MSEL(IP13_19_16, MSIOF1_TXD_D, SEL_MSIOF1_3),
  1143. PINMUX_IPSR_MSEL(IP13_19_16, SSI_SDATA9_B, SEL_SSI9_1),
  1144. PINMUX_IPSR_MSEL(IP13_19_16, TS_SDAT0_D, SEL_TSIF0_3),
  1145. PINMUX_IPSR_MSEL(IP13_19_16, STP_ISD_0_D, SEL_SSP1_0_3),
  1146. PINMUX_IPSR_MSEL(IP13_19_16, RIF0_D1_C, SEL_DRIF0_2),
  1147. PINMUX_IPSR_GPSR(IP13_23_20, HCTS0_N),
  1148. PINMUX_IPSR_MSEL(IP13_23_20, RX2_B, SEL_SCIF2_1),
  1149. PINMUX_IPSR_MSEL(IP13_23_20, MSIOF1_SYNC_D, SEL_MSIOF1_3),
  1150. PINMUX_IPSR_MSEL(IP13_23_20, SSI_SCK9_A, SEL_SSI9_0),
  1151. PINMUX_IPSR_MSEL(IP13_23_20, TS_SPSYNC0_D, SEL_TSIF0_3),
  1152. PINMUX_IPSR_MSEL(IP13_23_20, STP_ISSYNC_0_D, SEL_SSP1_0_3),
  1153. PINMUX_IPSR_MSEL(IP13_23_20, RIF0_SYNC_C, SEL_DRIF0_2),
  1154. PINMUX_IPSR_GPSR(IP13_23_20, AUDIO_CLKOUT1_A),
  1155. PINMUX_IPSR_GPSR(IP13_27_24, HRTS0_N),
  1156. PINMUX_IPSR_MSEL(IP13_27_24, TX2_B, SEL_SCIF2_1),
  1157. PINMUX_IPSR_MSEL(IP13_27_24, MSIOF1_SS1_D, SEL_MSIOF1_3),
  1158. PINMUX_IPSR_MSEL(IP13_27_24, SSI_WS9_A, SEL_SSI9_0),
  1159. PINMUX_IPSR_MSEL(IP13_27_24, STP_IVCXO27_0_D, SEL_SSP1_0_3),
  1160. PINMUX_IPSR_MSEL(IP13_27_24, BPFCLK_A, SEL_FM_0),
  1161. PINMUX_IPSR_GPSR(IP13_27_24, AUDIO_CLKOUT2_A),
  1162. PINMUX_IPSR_GPSR(IP13_31_28, MSIOF0_SYNC),
  1163. PINMUX_IPSR_GPSR(IP13_31_28, AUDIO_CLKOUT_A),
  1164. PINMUX_IPSR_MSEL(IP13_31_28, TX5_B, SEL_SCIF5_1),
  1165. PINMUX_IPSR_MSEL(IP13_31_28, BPFCLK_D, SEL_FM_3),
  1166. /* IPSR14 */
  1167. PINMUX_IPSR_GPSR(IP14_3_0, MSIOF0_SS1),
  1168. PINMUX_IPSR_MSEL(IP14_3_0, RX5_A, SEL_SCIF5_0),
  1169. PINMUX_IPSR_GPSR(IP14_3_0, NFWP_N_A),
  1170. PINMUX_IPSR_MSEL(IP14_3_0, AUDIO_CLKA_C, SEL_ADGA_2),
  1171. PINMUX_IPSR_MSEL(IP14_3_0, SSI_SCK2_A, SEL_SSI2_0),
  1172. PINMUX_IPSR_MSEL(IP14_3_0, STP_IVCXO27_0_C, SEL_SSP1_0_2),
  1173. PINMUX_IPSR_GPSR(IP14_3_0, AUDIO_CLKOUT3_A),
  1174. PINMUX_IPSR_MSEL(IP14_3_0, TCLK1_B, SEL_TIMER_TMU1_1),
  1175. PINMUX_IPSR_GPSR(IP14_7_4, MSIOF0_SS2),
  1176. PINMUX_IPSR_MSEL(IP14_7_4, TX5_A, SEL_SCIF5_0),
  1177. PINMUX_IPSR_MSEL(IP14_7_4, MSIOF1_SS2_D, SEL_MSIOF1_3),
  1178. PINMUX_IPSR_MSEL(IP14_7_4, AUDIO_CLKC_A, SEL_ADGC_0),
  1179. PINMUX_IPSR_MSEL(IP14_7_4, SSI_WS2_A, SEL_SSI2_0),
  1180. PINMUX_IPSR_MSEL(IP14_7_4, STP_OPWM_0_D, SEL_SSP1_0_3),
  1181. PINMUX_IPSR_GPSR(IP14_7_4, AUDIO_CLKOUT_D),
  1182. PINMUX_IPSR_MSEL(IP14_7_4, SPEEDIN_B, SEL_SPEED_PULSE_1),
  1183. PINMUX_IPSR_GPSR(IP14_11_8, MLB_CLK),
  1184. PINMUX_IPSR_MSEL(IP14_11_8, MSIOF1_SCK_F, SEL_MSIOF1_5),
  1185. PINMUX_IPSR_MSEL(IP14_11_8, SCL1_B, SEL_I2C1_1),
  1186. PINMUX_IPSR_GPSR(IP14_15_12, MLB_SIG),
  1187. PINMUX_IPSR_MSEL(IP14_15_12, RX1_B, SEL_SCIF1_1),
  1188. PINMUX_IPSR_MSEL(IP14_15_12, MSIOF1_SYNC_F, SEL_MSIOF1_5),
  1189. PINMUX_IPSR_MSEL(IP14_15_12, SDA1_B, SEL_I2C1_1),
  1190. PINMUX_IPSR_GPSR(IP14_19_16, MLB_DAT),
  1191. PINMUX_IPSR_MSEL(IP14_19_16, TX1_B, SEL_SCIF1_1),
  1192. PINMUX_IPSR_MSEL(IP14_19_16, MSIOF1_RXD_F, SEL_MSIOF1_5),
  1193. PINMUX_IPSR_GPSR(IP14_23_20, SSI_SCK01239),
  1194. PINMUX_IPSR_MSEL(IP14_23_20, MSIOF1_TXD_F, SEL_MSIOF1_5),
  1195. PINMUX_IPSR_GPSR(IP14_27_24, SSI_WS01239),
  1196. PINMUX_IPSR_MSEL(IP14_27_24, MSIOF1_SS1_F, SEL_MSIOF1_5),
  1197. PINMUX_IPSR_GPSR(IP14_31_28, SSI_SDATA0),
  1198. PINMUX_IPSR_MSEL(IP14_31_28, MSIOF1_SS2_F, SEL_MSIOF1_5),
  1199. /* IPSR15 */
  1200. PINMUX_IPSR_MSEL(IP15_3_0, SSI_SDATA1_A, SEL_SSI1_0),
  1201. PINMUX_IPSR_MSEL(IP15_7_4, SSI_SDATA2_A, SEL_SSI2_0),
  1202. PINMUX_IPSR_MSEL(IP15_7_4, SSI_SCK1_B, SEL_SSI1_1),
  1203. PINMUX_IPSR_GPSR(IP15_11_8, SSI_SCK349),
  1204. PINMUX_IPSR_MSEL(IP15_11_8, MSIOF1_SS1_A, SEL_MSIOF1_0),
  1205. PINMUX_IPSR_MSEL(IP15_11_8, STP_OPWM_0_A, SEL_SSP1_0_0),
  1206. PINMUX_IPSR_GPSR(IP15_15_12, SSI_WS349),
  1207. PINMUX_IPSR_MSEL(IP15_15_12, HCTS2_N_A, SEL_HSCIF2_0),
  1208. PINMUX_IPSR_MSEL(IP15_15_12, MSIOF1_SS2_A, SEL_MSIOF1_0),
  1209. PINMUX_IPSR_MSEL(IP15_15_12, STP_IVCXO27_0_A, SEL_SSP1_0_0),
  1210. PINMUX_IPSR_GPSR(IP15_19_16, SSI_SDATA3),
  1211. PINMUX_IPSR_MSEL(IP15_19_16, HRTS2_N_A, SEL_HSCIF2_0),
  1212. PINMUX_IPSR_MSEL(IP15_19_16, MSIOF1_TXD_A, SEL_MSIOF1_0),
  1213. PINMUX_IPSR_MSEL(IP15_19_16, TS_SCK0_A, SEL_TSIF0_0),
  1214. PINMUX_IPSR_MSEL(IP15_19_16, STP_ISCLK_0_A, SEL_SSP1_0_0),
  1215. PINMUX_IPSR_MSEL(IP15_19_16, RIF0_D1_A, SEL_DRIF0_0),
  1216. PINMUX_IPSR_MSEL(IP15_19_16, RIF2_D0_A, SEL_DRIF2_0),
  1217. PINMUX_IPSR_GPSR(IP15_23_20, SSI_SCK4),
  1218. PINMUX_IPSR_MSEL(IP15_23_20, HRX2_A, SEL_HSCIF2_0),
  1219. PINMUX_IPSR_MSEL(IP15_23_20, MSIOF1_SCK_A, SEL_MSIOF1_0),
  1220. PINMUX_IPSR_MSEL(IP15_23_20, TS_SDAT0_A, SEL_TSIF0_0),
  1221. PINMUX_IPSR_MSEL(IP15_23_20, STP_ISD_0_A, SEL_SSP1_0_0),
  1222. PINMUX_IPSR_MSEL(IP15_23_20, RIF0_CLK_A, SEL_DRIF0_0),
  1223. PINMUX_IPSR_MSEL(IP15_23_20, RIF2_CLK_A, SEL_DRIF2_0),
  1224. PINMUX_IPSR_GPSR(IP15_27_24, SSI_WS4),
  1225. PINMUX_IPSR_MSEL(IP15_27_24, HTX2_A, SEL_HSCIF2_0),
  1226. PINMUX_IPSR_MSEL(IP15_27_24, MSIOF1_SYNC_A, SEL_MSIOF1_0),
  1227. PINMUX_IPSR_MSEL(IP15_27_24, TS_SDEN0_A, SEL_TSIF0_0),
  1228. PINMUX_IPSR_MSEL(IP15_27_24, STP_ISEN_0_A, SEL_SSP1_0_0),
  1229. PINMUX_IPSR_MSEL(IP15_27_24, RIF0_SYNC_A, SEL_DRIF0_0),
  1230. PINMUX_IPSR_MSEL(IP15_27_24, RIF2_SYNC_A, SEL_DRIF2_0),
  1231. PINMUX_IPSR_GPSR(IP15_31_28, SSI_SDATA4),
  1232. PINMUX_IPSR_MSEL(IP15_31_28, HSCK2_A, SEL_HSCIF2_0),
  1233. PINMUX_IPSR_MSEL(IP15_31_28, MSIOF1_RXD_A, SEL_MSIOF1_0),
  1234. PINMUX_IPSR_MSEL(IP15_31_28, TS_SPSYNC0_A, SEL_TSIF0_0),
  1235. PINMUX_IPSR_MSEL(IP15_31_28, STP_ISSYNC_0_A, SEL_SSP1_0_0),
  1236. PINMUX_IPSR_MSEL(IP15_31_28, RIF0_D0_A, SEL_DRIF0_0),
  1237. PINMUX_IPSR_MSEL(IP15_31_28, RIF2_D1_A, SEL_DRIF2_0),
  1238. /* IPSR16 */
  1239. PINMUX_IPSR_GPSR(IP16_3_0, SSI_SCK6),
  1240. PINMUX_IPSR_GPSR(IP16_3_0, USB2_PWEN),
  1241. PINMUX_IPSR_MSEL(IP16_3_0, SIM0_RST_D, SEL_SIMCARD_3),
  1242. PINMUX_IPSR_GPSR(IP16_7_4, SSI_WS6),
  1243. PINMUX_IPSR_GPSR(IP16_7_4, USB2_OVC),
  1244. PINMUX_IPSR_MSEL(IP16_7_4, SIM0_D_D, SEL_SIMCARD_3),
  1245. PINMUX_IPSR_GPSR(IP16_11_8, SSI_SDATA6),
  1246. PINMUX_IPSR_MSEL(IP16_11_8, SIM0_CLK_D, SEL_SIMCARD_3),
  1247. PINMUX_IPSR_GPSR(IP16_11_8, SATA_DEVSLP_A),
  1248. PINMUX_IPSR_GPSR(IP16_15_12, SSI_SCK78),
  1249. PINMUX_IPSR_MSEL(IP16_15_12, HRX2_B, SEL_HSCIF2_1),
  1250. PINMUX_IPSR_MSEL(IP16_15_12, MSIOF1_SCK_C, SEL_MSIOF1_2),
  1251. PINMUX_IPSR_MSEL(IP16_15_12, TS_SCK1_A, SEL_TSIF1_0),
  1252. PINMUX_IPSR_MSEL(IP16_15_12, STP_ISCLK_1_A, SEL_SSP1_1_0),
  1253. PINMUX_IPSR_MSEL(IP16_15_12, RIF1_CLK_A, SEL_DRIF1_0),
  1254. PINMUX_IPSR_MSEL(IP16_15_12, RIF3_CLK_A, SEL_DRIF3_0),
  1255. PINMUX_IPSR_GPSR(IP16_19_16, SSI_WS78),
  1256. PINMUX_IPSR_MSEL(IP16_19_16, HTX2_B, SEL_HSCIF2_1),
  1257. PINMUX_IPSR_MSEL(IP16_19_16, MSIOF1_SYNC_C, SEL_MSIOF1_2),
  1258. PINMUX_IPSR_MSEL(IP16_19_16, TS_SDAT1_A, SEL_TSIF1_0),
  1259. PINMUX_IPSR_MSEL(IP16_19_16, STP_ISD_1_A, SEL_SSP1_1_0),
  1260. PINMUX_IPSR_MSEL(IP16_19_16, RIF1_SYNC_A, SEL_DRIF1_0),
  1261. PINMUX_IPSR_MSEL(IP16_19_16, RIF3_SYNC_A, SEL_DRIF3_0),
  1262. PINMUX_IPSR_GPSR(IP16_23_20, SSI_SDATA7),
  1263. PINMUX_IPSR_MSEL(IP16_23_20, HCTS2_N_B, SEL_HSCIF2_1),
  1264. PINMUX_IPSR_MSEL(IP16_23_20, MSIOF1_RXD_C, SEL_MSIOF1_2),
  1265. PINMUX_IPSR_MSEL(IP16_23_20, TS_SDEN1_A, SEL_TSIF1_0),
  1266. PINMUX_IPSR_MSEL(IP16_23_20, STP_ISEN_1_A, SEL_SSP1_1_0),
  1267. PINMUX_IPSR_MSEL(IP16_23_20, RIF1_D0_A, SEL_DRIF1_0),
  1268. PINMUX_IPSR_MSEL(IP16_23_20, RIF3_D0_A, SEL_DRIF3_0),
  1269. PINMUX_IPSR_MSEL(IP16_23_20, TCLK2_A, SEL_TIMER_TMU2_0),
  1270. PINMUX_IPSR_GPSR(IP16_27_24, SSI_SDATA8),
  1271. PINMUX_IPSR_MSEL(IP16_27_24, HRTS2_N_B, SEL_HSCIF2_1),
  1272. PINMUX_IPSR_MSEL(IP16_27_24, MSIOF1_TXD_C, SEL_MSIOF1_2),
  1273. PINMUX_IPSR_MSEL(IP16_27_24, TS_SPSYNC1_A, SEL_TSIF1_0),
  1274. PINMUX_IPSR_MSEL(IP16_27_24, STP_ISSYNC_1_A, SEL_SSP1_1_0),
  1275. PINMUX_IPSR_MSEL(IP16_27_24, RIF1_D1_A, SEL_DRIF1_0),
  1276. PINMUX_IPSR_MSEL(IP16_27_24, RIF3_D1_A, SEL_DRIF3_0),
  1277. PINMUX_IPSR_MSEL(IP16_31_28, SSI_SDATA9_A, SEL_SSI9_0),
  1278. PINMUX_IPSR_MSEL(IP16_31_28, HSCK2_B, SEL_HSCIF2_1),
  1279. PINMUX_IPSR_MSEL(IP16_31_28, MSIOF1_SS1_C, SEL_MSIOF1_2),
  1280. PINMUX_IPSR_MSEL(IP16_31_28, HSCK1_A, SEL_HSCIF1_0),
  1281. PINMUX_IPSR_MSEL(IP16_31_28, SSI_WS1_B, SEL_SSI1_1),
  1282. PINMUX_IPSR_GPSR(IP16_31_28, SCK1),
  1283. PINMUX_IPSR_MSEL(IP16_31_28, STP_IVCXO27_1_A, SEL_SSP1_1_0),
  1284. PINMUX_IPSR_MSEL(IP16_31_28, SCK5_A, SEL_SCIF5_0),
  1285. /* IPSR17 */
  1286. PINMUX_IPSR_MSEL(IP17_3_0, AUDIO_CLKA_A, SEL_ADGA_0),
  1287. PINMUX_IPSR_MSEL(IP17_7_4, AUDIO_CLKB_B, SEL_ADGB_1),
  1288. PINMUX_IPSR_MSEL(IP17_7_4, SCIF_CLK_A, SEL_SCIF_0),
  1289. PINMUX_IPSR_MSEL(IP17_7_4, STP_IVCXO27_1_D, SEL_SSP1_1_3),
  1290. PINMUX_IPSR_MSEL(IP17_7_4, REMOCON_A, SEL_REMOCON_0),
  1291. PINMUX_IPSR_MSEL(IP17_7_4, TCLK1_A, SEL_TIMER_TMU1_0),
  1292. PINMUX_IPSR_GPSR(IP17_11_8, USB0_PWEN),
  1293. PINMUX_IPSR_MSEL(IP17_11_8, SIM0_RST_C, SEL_SIMCARD_2),
  1294. PINMUX_IPSR_MSEL(IP17_11_8, TS_SCK1_D, SEL_TSIF1_3),
  1295. PINMUX_IPSR_MSEL(IP17_11_8, STP_ISCLK_1_D, SEL_SSP1_1_3),
  1296. PINMUX_IPSR_MSEL(IP17_11_8, BPFCLK_B, SEL_FM_1),
  1297. PINMUX_IPSR_MSEL(IP17_11_8, RIF3_CLK_B, SEL_DRIF3_1),
  1298. PINMUX_IPSR_MSEL(IP17_11_8, HSCK2_C, SEL_HSCIF2_2),
  1299. PINMUX_IPSR_GPSR(IP17_15_12, USB0_OVC),
  1300. PINMUX_IPSR_MSEL(IP17_15_12, SIM0_D_C, SEL_SIMCARD_2),
  1301. PINMUX_IPSR_MSEL(IP17_15_12, TS_SDAT1_D, SEL_TSIF1_3),
  1302. PINMUX_IPSR_MSEL(IP17_15_12, STP_ISD_1_D, SEL_SSP1_1_3),
  1303. PINMUX_IPSR_MSEL(IP17_15_12, RIF3_SYNC_B, SEL_DRIF3_1),
  1304. PINMUX_IPSR_MSEL(IP17_15_12, HRX2_C, SEL_HSCIF2_2),
  1305. PINMUX_IPSR_GPSR(IP17_19_16, USB1_PWEN),
  1306. PINMUX_IPSR_MSEL(IP17_19_16, SIM0_CLK_C, SEL_SIMCARD_2),
  1307. PINMUX_IPSR_MSEL(IP17_19_16, SSI_SCK1_A, SEL_SSI1_0),
  1308. PINMUX_IPSR_MSEL(IP17_19_16, TS_SCK0_E, SEL_TSIF0_4),
  1309. PINMUX_IPSR_MSEL(IP17_19_16, STP_ISCLK_0_E, SEL_SSP1_0_4),
  1310. PINMUX_IPSR_MSEL(IP17_19_16, FMCLK_B, SEL_FM_1),
  1311. PINMUX_IPSR_MSEL(IP17_19_16, RIF2_CLK_B, SEL_DRIF2_1),
  1312. PINMUX_IPSR_MSEL(IP17_19_16, SPEEDIN_A, SEL_SPEED_PULSE_0),
  1313. PINMUX_IPSR_MSEL(IP17_19_16, HTX2_C, SEL_HSCIF2_2),
  1314. PINMUX_IPSR_GPSR(IP17_23_20, USB1_OVC),
  1315. PINMUX_IPSR_MSEL(IP17_23_20, MSIOF1_SS2_C, SEL_MSIOF1_2),
  1316. PINMUX_IPSR_MSEL(IP17_23_20, SSI_WS1_A, SEL_SSI1_0),
  1317. PINMUX_IPSR_MSEL(IP17_23_20, TS_SDAT0_E, SEL_TSIF0_4),
  1318. PINMUX_IPSR_MSEL(IP17_23_20, STP_ISD_0_E, SEL_SSP1_0_4),
  1319. PINMUX_IPSR_MSEL(IP17_23_20, FMIN_B, SEL_FM_1),
  1320. PINMUX_IPSR_MSEL(IP17_23_20, RIF2_SYNC_B, SEL_DRIF2_1),
  1321. PINMUX_IPSR_MSEL(IP17_23_20, REMOCON_B, SEL_REMOCON_1),
  1322. PINMUX_IPSR_MSEL(IP17_23_20, HCTS2_N_C, SEL_HSCIF2_2),
  1323. PINMUX_IPSR_GPSR(IP17_27_24, USB30_PWEN),
  1324. PINMUX_IPSR_GPSR(IP17_27_24, AUDIO_CLKOUT_B),
  1325. PINMUX_IPSR_MSEL(IP17_27_24, SSI_SCK2_B, SEL_SSI2_1),
  1326. PINMUX_IPSR_MSEL(IP17_27_24, TS_SDEN1_D, SEL_TSIF1_3),
  1327. PINMUX_IPSR_MSEL(IP17_27_24, STP_ISEN_1_D, SEL_SSP1_1_3),
  1328. PINMUX_IPSR_MSEL(IP17_27_24, STP_OPWM_0_E, SEL_SSP1_0_4),
  1329. PINMUX_IPSR_MSEL(IP17_27_24, RIF3_D0_B, SEL_DRIF3_1),
  1330. PINMUX_IPSR_MSEL(IP17_27_24, TCLK2_B, SEL_TIMER_TMU2_1),
  1331. PINMUX_IPSR_GPSR(IP17_27_24, TPU0TO0),
  1332. PINMUX_IPSR_MSEL(IP17_27_24, BPFCLK_C, SEL_FM_2),
  1333. PINMUX_IPSR_MSEL(IP17_27_24, HRTS2_N_C, SEL_HSCIF2_2),
  1334. PINMUX_IPSR_GPSR(IP17_31_28, USB30_OVC),
  1335. PINMUX_IPSR_GPSR(IP17_31_28, AUDIO_CLKOUT1_B),
  1336. PINMUX_IPSR_MSEL(IP17_31_28, SSI_WS2_B, SEL_SSI2_1),
  1337. PINMUX_IPSR_MSEL(IP17_31_28, TS_SPSYNC1_D, SEL_TSIF1_3),
  1338. PINMUX_IPSR_MSEL(IP17_31_28, STP_ISSYNC_1_D, SEL_SSP1_1_3),
  1339. PINMUX_IPSR_MSEL(IP17_31_28, STP_IVCXO27_0_E, SEL_SSP1_0_4),
  1340. PINMUX_IPSR_MSEL(IP17_31_28, RIF3_D1_B, SEL_DRIF3_1),
  1341. PINMUX_IPSR_GPSR(IP17_31_28, FSO_TOE_N),
  1342. PINMUX_IPSR_GPSR(IP17_31_28, TPU0TO1),
  1343. /* IPSR18 */
  1344. PINMUX_IPSR_GPSR(IP18_3_0, USB2_CH3_PWEN),
  1345. PINMUX_IPSR_GPSR(IP18_3_0, AUDIO_CLKOUT2_B),
  1346. PINMUX_IPSR_MSEL(IP18_3_0, SSI_SCK9_B, SEL_SSI9_1),
  1347. PINMUX_IPSR_MSEL(IP18_3_0, TS_SDEN0_E, SEL_TSIF0_4),
  1348. PINMUX_IPSR_MSEL(IP18_3_0, STP_ISEN_0_E, SEL_SSP1_0_4),
  1349. PINMUX_IPSR_MSEL(IP18_3_0, RIF2_D0_B, SEL_DRIF2_1),
  1350. PINMUX_IPSR_GPSR(IP18_3_0, TPU0TO2),
  1351. PINMUX_IPSR_MSEL(IP18_3_0, FMCLK_C, SEL_FM_2),
  1352. PINMUX_IPSR_MSEL(IP18_3_0, FMCLK_D, SEL_FM_3),
  1353. PINMUX_IPSR_GPSR(IP18_7_4, USB2_CH3_OVC),
  1354. PINMUX_IPSR_GPSR(IP18_7_4, AUDIO_CLKOUT3_B),
  1355. PINMUX_IPSR_MSEL(IP18_7_4, SSI_WS9_B, SEL_SSI9_1),
  1356. PINMUX_IPSR_MSEL(IP18_7_4, TS_SPSYNC0_E, SEL_TSIF0_4),
  1357. PINMUX_IPSR_MSEL(IP18_7_4, STP_ISSYNC_0_E, SEL_SSP1_0_4),
  1358. PINMUX_IPSR_MSEL(IP18_7_4, RIF2_D1_B, SEL_DRIF2_1),
  1359. PINMUX_IPSR_GPSR(IP18_7_4, TPU0TO3),
  1360. PINMUX_IPSR_MSEL(IP18_7_4, FMIN_C, SEL_FM_2),
  1361. PINMUX_IPSR_MSEL(IP18_7_4, FMIN_D, SEL_FM_3),
  1362. /*
  1363. * Static pins can not be muxed between different functions but
  1364. * still need mark entries in the pinmux list. Add each static
  1365. * pin to the list without an associated function. The sh-pfc
  1366. * core will do the right thing and skip trying to mux the pin
  1367. * while still applying configuration to it.
  1368. */
  1369. #define FM(x) PINMUX_DATA(x##_MARK, 0),
  1370. PINMUX_STATIC
  1371. #undef FM
  1372. };
  1373. /*
  1374. * Pins not associated with a GPIO port.
  1375. */
  1376. enum {
  1377. GP_ASSIGN_LAST(),
  1378. NOGP_ALL(),
  1379. };
  1380. static const struct sh_pfc_pin pinmux_pins[] = {
  1381. PINMUX_GPIO_GP_ALL(),
  1382. PINMUX_NOGP_ALL(),
  1383. };
  1384. /* - AUDIO CLOCK ------------------------------------------------------------ */
  1385. static const unsigned int audio_clk_a_a_pins[] = {
  1386. /* CLK A */
  1387. RCAR_GP_PIN(6, 22),
  1388. };
  1389. static const unsigned int audio_clk_a_a_mux[] = {
  1390. AUDIO_CLKA_A_MARK,
  1391. };
  1392. static const unsigned int audio_clk_a_b_pins[] = {
  1393. /* CLK A */
  1394. RCAR_GP_PIN(5, 4),
  1395. };
  1396. static const unsigned int audio_clk_a_b_mux[] = {
  1397. AUDIO_CLKA_B_MARK,
  1398. };
  1399. static const unsigned int audio_clk_a_c_pins[] = {
  1400. /* CLK A */
  1401. RCAR_GP_PIN(5, 19),
  1402. };
  1403. static const unsigned int audio_clk_a_c_mux[] = {
  1404. AUDIO_CLKA_C_MARK,
  1405. };
  1406. static const unsigned int audio_clk_b_a_pins[] = {
  1407. /* CLK B */
  1408. RCAR_GP_PIN(5, 12),
  1409. };
  1410. static const unsigned int audio_clk_b_a_mux[] = {
  1411. AUDIO_CLKB_A_MARK,
  1412. };
  1413. static const unsigned int audio_clk_b_b_pins[] = {
  1414. /* CLK B */
  1415. RCAR_GP_PIN(6, 23),
  1416. };
  1417. static const unsigned int audio_clk_b_b_mux[] = {
  1418. AUDIO_CLKB_B_MARK,
  1419. };
  1420. static const unsigned int audio_clk_c_a_pins[] = {
  1421. /* CLK C */
  1422. RCAR_GP_PIN(5, 21),
  1423. };
  1424. static const unsigned int audio_clk_c_a_mux[] = {
  1425. AUDIO_CLKC_A_MARK,
  1426. };
  1427. static const unsigned int audio_clk_c_b_pins[] = {
  1428. /* CLK C */
  1429. RCAR_GP_PIN(5, 0),
  1430. };
  1431. static const unsigned int audio_clk_c_b_mux[] = {
  1432. AUDIO_CLKC_B_MARK,
  1433. };
  1434. static const unsigned int audio_clkout_a_pins[] = {
  1435. /* CLKOUT */
  1436. RCAR_GP_PIN(5, 18),
  1437. };
  1438. static const unsigned int audio_clkout_a_mux[] = {
  1439. AUDIO_CLKOUT_A_MARK,
  1440. };
  1441. static const unsigned int audio_clkout_b_pins[] = {
  1442. /* CLKOUT */
  1443. RCAR_GP_PIN(6, 28),
  1444. };
  1445. static const unsigned int audio_clkout_b_mux[] = {
  1446. AUDIO_CLKOUT_B_MARK,
  1447. };
  1448. static const unsigned int audio_clkout_c_pins[] = {
  1449. /* CLKOUT */
  1450. RCAR_GP_PIN(5, 3),
  1451. };
  1452. static const unsigned int audio_clkout_c_mux[] = {
  1453. AUDIO_CLKOUT_C_MARK,
  1454. };
  1455. static const unsigned int audio_clkout_d_pins[] = {
  1456. /* CLKOUT */
  1457. RCAR_GP_PIN(5, 21),
  1458. };
  1459. static const unsigned int audio_clkout_d_mux[] = {
  1460. AUDIO_CLKOUT_D_MARK,
  1461. };
  1462. static const unsigned int audio_clkout1_a_pins[] = {
  1463. /* CLKOUT1 */
  1464. RCAR_GP_PIN(5, 15),
  1465. };
  1466. static const unsigned int audio_clkout1_a_mux[] = {
  1467. AUDIO_CLKOUT1_A_MARK,
  1468. };
  1469. static const unsigned int audio_clkout1_b_pins[] = {
  1470. /* CLKOUT1 */
  1471. RCAR_GP_PIN(6, 29),
  1472. };
  1473. static const unsigned int audio_clkout1_b_mux[] = {
  1474. AUDIO_CLKOUT1_B_MARK,
  1475. };
  1476. static const unsigned int audio_clkout2_a_pins[] = {
  1477. /* CLKOUT2 */
  1478. RCAR_GP_PIN(5, 16),
  1479. };
  1480. static const unsigned int audio_clkout2_a_mux[] = {
  1481. AUDIO_CLKOUT2_A_MARK,
  1482. };
  1483. static const unsigned int audio_clkout2_b_pins[] = {
  1484. /* CLKOUT2 */
  1485. RCAR_GP_PIN(6, 30),
  1486. };
  1487. static const unsigned int audio_clkout2_b_mux[] = {
  1488. AUDIO_CLKOUT2_B_MARK,
  1489. };
  1490. static const unsigned int audio_clkout3_a_pins[] = {
  1491. /* CLKOUT3 */
  1492. RCAR_GP_PIN(5, 19),
  1493. };
  1494. static const unsigned int audio_clkout3_a_mux[] = {
  1495. AUDIO_CLKOUT3_A_MARK,
  1496. };
  1497. static const unsigned int audio_clkout3_b_pins[] = {
  1498. /* CLKOUT3 */
  1499. RCAR_GP_PIN(6, 31),
  1500. };
  1501. static const unsigned int audio_clkout3_b_mux[] = {
  1502. AUDIO_CLKOUT3_B_MARK,
  1503. };
  1504. /* - EtherAVB --------------------------------------------------------------- */
  1505. static const unsigned int avb_link_pins[] = {
  1506. /* AVB_LINK */
  1507. RCAR_GP_PIN(2, 12),
  1508. };
  1509. static const unsigned int avb_link_mux[] = {
  1510. AVB_LINK_MARK,
  1511. };
  1512. static const unsigned int avb_magic_pins[] = {
  1513. /* AVB_MAGIC_ */
  1514. RCAR_GP_PIN(2, 10),
  1515. };
  1516. static const unsigned int avb_magic_mux[] = {
  1517. AVB_MAGIC_MARK,
  1518. };
  1519. static const unsigned int avb_phy_int_pins[] = {
  1520. /* AVB_PHY_INT */
  1521. RCAR_GP_PIN(2, 11),
  1522. };
  1523. static const unsigned int avb_phy_int_mux[] = {
  1524. AVB_PHY_INT_MARK,
  1525. };
  1526. static const unsigned int avb_mdio_pins[] = {
  1527. /* AVB_MDC, AVB_MDIO */
  1528. RCAR_GP_PIN(2, 9), PIN_AVB_MDIO,
  1529. };
  1530. static const unsigned int avb_mdio_mux[] = {
  1531. AVB_MDC_MARK, AVB_MDIO_MARK,
  1532. };
  1533. static const unsigned int avb_mii_pins[] = {
  1534. /*
  1535. * AVB_TX_CTL, AVB_TXC, AVB_TD0,
  1536. * AVB_TD1, AVB_TD2, AVB_TD3,
  1537. * AVB_RX_CTL, AVB_RXC, AVB_RD0,
  1538. * AVB_RD1, AVB_RD2, AVB_RD3,
  1539. * AVB_TXCREFCLK
  1540. */
  1541. PIN_AVB_TX_CTL, PIN_AVB_TXC, PIN_AVB_TD0,
  1542. PIN_AVB_TD1, PIN_AVB_TD2, PIN_AVB_TD3,
  1543. PIN_AVB_RX_CTL, PIN_AVB_RXC, PIN_AVB_RD0,
  1544. PIN_AVB_RD1, PIN_AVB_RD2, PIN_AVB_RD3,
  1545. PIN_AVB_TXCREFCLK,
  1546. };
  1547. static const unsigned int avb_mii_mux[] = {
  1548. AVB_TX_CTL_MARK, AVB_TXC_MARK, AVB_TD0_MARK,
  1549. AVB_TD1_MARK, AVB_TD2_MARK, AVB_TD3_MARK,
  1550. AVB_RX_CTL_MARK, AVB_RXC_MARK, AVB_RD0_MARK,
  1551. AVB_RD1_MARK, AVB_RD2_MARK, AVB_RD3_MARK,
  1552. AVB_TXCREFCLK_MARK,
  1553. };
  1554. static const unsigned int avb_avtp_pps_pins[] = {
  1555. /* AVB_AVTP_PPS */
  1556. RCAR_GP_PIN(2, 6),
  1557. };
  1558. static const unsigned int avb_avtp_pps_mux[] = {
  1559. AVB_AVTP_PPS_MARK,
  1560. };
  1561. static const unsigned int avb_avtp_match_a_pins[] = {
  1562. /* AVB_AVTP_MATCH_A */
  1563. RCAR_GP_PIN(2, 13),
  1564. };
  1565. static const unsigned int avb_avtp_match_a_mux[] = {
  1566. AVB_AVTP_MATCH_A_MARK,
  1567. };
  1568. static const unsigned int avb_avtp_capture_a_pins[] = {
  1569. /* AVB_AVTP_CAPTURE_A */
  1570. RCAR_GP_PIN(2, 14),
  1571. };
  1572. static const unsigned int avb_avtp_capture_a_mux[] = {
  1573. AVB_AVTP_CAPTURE_A_MARK,
  1574. };
  1575. static const unsigned int avb_avtp_match_b_pins[] = {
  1576. /* AVB_AVTP_MATCH_B */
  1577. RCAR_GP_PIN(1, 8),
  1578. };
  1579. static const unsigned int avb_avtp_match_b_mux[] = {
  1580. AVB_AVTP_MATCH_B_MARK,
  1581. };
  1582. static const unsigned int avb_avtp_capture_b_pins[] = {
  1583. /* AVB_AVTP_CAPTURE_B */
  1584. RCAR_GP_PIN(1, 11),
  1585. };
  1586. static const unsigned int avb_avtp_capture_b_mux[] = {
  1587. AVB_AVTP_CAPTURE_B_MARK,
  1588. };
  1589. /* - CAN ------------------------------------------------------------------ */
  1590. static const unsigned int can0_data_a_pins[] = {
  1591. /* TX, RX */
  1592. RCAR_GP_PIN(1, 23), RCAR_GP_PIN(1, 24),
  1593. };
  1594. static const unsigned int can0_data_a_mux[] = {
  1595. CAN0_TX_A_MARK, CAN0_RX_A_MARK,
  1596. };
  1597. static const unsigned int can0_data_b_pins[] = {
  1598. /* TX, RX */
  1599. RCAR_GP_PIN(2, 0), RCAR_GP_PIN(2, 1),
  1600. };
  1601. static const unsigned int can0_data_b_mux[] = {
  1602. CAN0_TX_B_MARK, CAN0_RX_B_MARK,
  1603. };
  1604. static const unsigned int can1_data_pins[] = {
  1605. /* TX, RX */
  1606. RCAR_GP_PIN(1, 22), RCAR_GP_PIN(1, 26),
  1607. };
  1608. static const unsigned int can1_data_mux[] = {
  1609. CAN1_TX_MARK, CAN1_RX_MARK,
  1610. };
  1611. /* - CAN Clock -------------------------------------------------------------- */
  1612. static const unsigned int can_clk_pins[] = {
  1613. /* CLK */
  1614. RCAR_GP_PIN(1, 25),
  1615. };
  1616. static const unsigned int can_clk_mux[] = {
  1617. CAN_CLK_MARK,
  1618. };
  1619. /* - CAN FD --------------------------------------------------------------- */
  1620. static const unsigned int canfd0_data_a_pins[] = {
  1621. /* TX, RX */
  1622. RCAR_GP_PIN(1, 23), RCAR_GP_PIN(1, 24),
  1623. };
  1624. static const unsigned int canfd0_data_a_mux[] = {
  1625. CANFD0_TX_A_MARK, CANFD0_RX_A_MARK,
  1626. };
  1627. static const unsigned int canfd0_data_b_pins[] = {
  1628. /* TX, RX */
  1629. RCAR_GP_PIN(2, 0), RCAR_GP_PIN(2, 1),
  1630. };
  1631. static const unsigned int canfd0_data_b_mux[] = {
  1632. CANFD0_TX_B_MARK, CANFD0_RX_B_MARK,
  1633. };
  1634. static const unsigned int canfd1_data_pins[] = {
  1635. /* TX, RX */
  1636. RCAR_GP_PIN(1, 22), RCAR_GP_PIN(1, 26),
  1637. };
  1638. static const unsigned int canfd1_data_mux[] = {
  1639. CANFD1_TX_MARK, CANFD1_RX_MARK,
  1640. };
  1641. /* - DRIF0 --------------------------------------------------------------- */
  1642. static const unsigned int drif0_ctrl_a_pins[] = {
  1643. /* CLK, SYNC */
  1644. RCAR_GP_PIN(6, 8), RCAR_GP_PIN(6, 9),
  1645. };
  1646. static const unsigned int drif0_ctrl_a_mux[] = {
  1647. RIF0_CLK_A_MARK, RIF0_SYNC_A_MARK,
  1648. };
  1649. static const unsigned int drif0_data0_a_pins[] = {
  1650. /* D0 */
  1651. RCAR_GP_PIN(6, 10),
  1652. };
  1653. static const unsigned int drif0_data0_a_mux[] = {
  1654. RIF0_D0_A_MARK,
  1655. };
  1656. static const unsigned int drif0_data1_a_pins[] = {
  1657. /* D1 */
  1658. RCAR_GP_PIN(6, 7),
  1659. };
  1660. static const unsigned int drif0_data1_a_mux[] = {
  1661. RIF0_D1_A_MARK,
  1662. };
  1663. static const unsigned int drif0_ctrl_b_pins[] = {
  1664. /* CLK, SYNC */
  1665. RCAR_GP_PIN(5, 0), RCAR_GP_PIN(5, 4),
  1666. };
  1667. static const unsigned int drif0_ctrl_b_mux[] = {
  1668. RIF0_CLK_B_MARK, RIF0_SYNC_B_MARK,
  1669. };
  1670. static const unsigned int drif0_data0_b_pins[] = {
  1671. /* D0 */
  1672. RCAR_GP_PIN(5, 1),
  1673. };
  1674. static const unsigned int drif0_data0_b_mux[] = {
  1675. RIF0_D0_B_MARK,
  1676. };
  1677. static const unsigned int drif0_data1_b_pins[] = {
  1678. /* D1 */
  1679. RCAR_GP_PIN(5, 2),
  1680. };
  1681. static const unsigned int drif0_data1_b_mux[] = {
  1682. RIF0_D1_B_MARK,
  1683. };
  1684. static const unsigned int drif0_ctrl_c_pins[] = {
  1685. /* CLK, SYNC */
  1686. RCAR_GP_PIN(5, 12), RCAR_GP_PIN(5, 15),
  1687. };
  1688. static const unsigned int drif0_ctrl_c_mux[] = {
  1689. RIF0_CLK_C_MARK, RIF0_SYNC_C_MARK,
  1690. };
  1691. static const unsigned int drif0_data0_c_pins[] = {
  1692. /* D0 */
  1693. RCAR_GP_PIN(5, 13),
  1694. };
  1695. static const unsigned int drif0_data0_c_mux[] = {
  1696. RIF0_D0_C_MARK,
  1697. };
  1698. static const unsigned int drif0_data1_c_pins[] = {
  1699. /* D1 */
  1700. RCAR_GP_PIN(5, 14),
  1701. };
  1702. static const unsigned int drif0_data1_c_mux[] = {
  1703. RIF0_D1_C_MARK,
  1704. };
  1705. /* - DRIF1 --------------------------------------------------------------- */
  1706. static const unsigned int drif1_ctrl_a_pins[] = {
  1707. /* CLK, SYNC */
  1708. RCAR_GP_PIN(6, 17), RCAR_GP_PIN(6, 18),
  1709. };
  1710. static const unsigned int drif1_ctrl_a_mux[] = {
  1711. RIF1_CLK_A_MARK, RIF1_SYNC_A_MARK,
  1712. };
  1713. static const unsigned int drif1_data0_a_pins[] = {
  1714. /* D0 */
  1715. RCAR_GP_PIN(6, 19),
  1716. };
  1717. static const unsigned int drif1_data0_a_mux[] = {
  1718. RIF1_D0_A_MARK,
  1719. };
  1720. static const unsigned int drif1_data1_a_pins[] = {
  1721. /* D1 */
  1722. RCAR_GP_PIN(6, 20),
  1723. };
  1724. static const unsigned int drif1_data1_a_mux[] = {
  1725. RIF1_D1_A_MARK,
  1726. };
  1727. static const unsigned int drif1_ctrl_b_pins[] = {
  1728. /* CLK, SYNC */
  1729. RCAR_GP_PIN(5, 9), RCAR_GP_PIN(5, 3),
  1730. };
  1731. static const unsigned int drif1_ctrl_b_mux[] = {
  1732. RIF1_CLK_B_MARK, RIF1_SYNC_B_MARK,
  1733. };
  1734. static const unsigned int drif1_data0_b_pins[] = {
  1735. /* D0 */
  1736. RCAR_GP_PIN(5, 7),
  1737. };
  1738. static const unsigned int drif1_data0_b_mux[] = {
  1739. RIF1_D0_B_MARK,
  1740. };
  1741. static const unsigned int drif1_data1_b_pins[] = {
  1742. /* D1 */
  1743. RCAR_GP_PIN(5, 8),
  1744. };
  1745. static const unsigned int drif1_data1_b_mux[] = {
  1746. RIF1_D1_B_MARK,
  1747. };
  1748. static const unsigned int drif1_ctrl_c_pins[] = {
  1749. /* CLK, SYNC */
  1750. RCAR_GP_PIN(5, 5), RCAR_GP_PIN(5, 11),
  1751. };
  1752. static const unsigned int drif1_ctrl_c_mux[] = {
  1753. RIF1_CLK_C_MARK, RIF1_SYNC_C_MARK,
  1754. };
  1755. static const unsigned int drif1_data0_c_pins[] = {
  1756. /* D0 */
  1757. RCAR_GP_PIN(5, 6),
  1758. };
  1759. static const unsigned int drif1_data0_c_mux[] = {
  1760. RIF1_D0_C_MARK,
  1761. };
  1762. static const unsigned int drif1_data1_c_pins[] = {
  1763. /* D1 */
  1764. RCAR_GP_PIN(5, 10),
  1765. };
  1766. static const unsigned int drif1_data1_c_mux[] = {
  1767. RIF1_D1_C_MARK,
  1768. };
  1769. /* - DRIF2 --------------------------------------------------------------- */
  1770. static const unsigned int drif2_ctrl_a_pins[] = {
  1771. /* CLK, SYNC */
  1772. RCAR_GP_PIN(6, 8), RCAR_GP_PIN(6, 9),
  1773. };
  1774. static const unsigned int drif2_ctrl_a_mux[] = {
  1775. RIF2_CLK_A_MARK, RIF2_SYNC_A_MARK,
  1776. };
  1777. static const unsigned int drif2_data0_a_pins[] = {
  1778. /* D0 */
  1779. RCAR_GP_PIN(6, 7),
  1780. };
  1781. static const unsigned int drif2_data0_a_mux[] = {
  1782. RIF2_D0_A_MARK,
  1783. };
  1784. static const unsigned int drif2_data1_a_pins[] = {
  1785. /* D1 */
  1786. RCAR_GP_PIN(6, 10),
  1787. };
  1788. static const unsigned int drif2_data1_a_mux[] = {
  1789. RIF2_D1_A_MARK,
  1790. };
  1791. static const unsigned int drif2_ctrl_b_pins[] = {
  1792. /* CLK, SYNC */
  1793. RCAR_GP_PIN(6, 26), RCAR_GP_PIN(6, 27),
  1794. };
  1795. static const unsigned int drif2_ctrl_b_mux[] = {
  1796. RIF2_CLK_B_MARK, RIF2_SYNC_B_MARK,
  1797. };
  1798. static const unsigned int drif2_data0_b_pins[] = {
  1799. /* D0 */
  1800. RCAR_GP_PIN(6, 30),
  1801. };
  1802. static const unsigned int drif2_data0_b_mux[] = {
  1803. RIF2_D0_B_MARK,
  1804. };
  1805. static const unsigned int drif2_data1_b_pins[] = {
  1806. /* D1 */
  1807. RCAR_GP_PIN(6, 31),
  1808. };
  1809. static const unsigned int drif2_data1_b_mux[] = {
  1810. RIF2_D1_B_MARK,
  1811. };
  1812. /* - DRIF3 --------------------------------------------------------------- */
  1813. static const unsigned int drif3_ctrl_a_pins[] = {
  1814. /* CLK, SYNC */
  1815. RCAR_GP_PIN(6, 17), RCAR_GP_PIN(6, 18),
  1816. };
  1817. static const unsigned int drif3_ctrl_a_mux[] = {
  1818. RIF3_CLK_A_MARK, RIF3_SYNC_A_MARK,
  1819. };
  1820. static const unsigned int drif3_data0_a_pins[] = {
  1821. /* D0 */
  1822. RCAR_GP_PIN(6, 19),
  1823. };
  1824. static const unsigned int drif3_data0_a_mux[] = {
  1825. RIF3_D0_A_MARK,
  1826. };
  1827. static const unsigned int drif3_data1_a_pins[] = {
  1828. /* D1 */
  1829. RCAR_GP_PIN(6, 20),
  1830. };
  1831. static const unsigned int drif3_data1_a_mux[] = {
  1832. RIF3_D1_A_MARK,
  1833. };
  1834. static const unsigned int drif3_ctrl_b_pins[] = {
  1835. /* CLK, SYNC */
  1836. RCAR_GP_PIN(6, 24), RCAR_GP_PIN(6, 25),
  1837. };
  1838. static const unsigned int drif3_ctrl_b_mux[] = {
  1839. RIF3_CLK_B_MARK, RIF3_SYNC_B_MARK,
  1840. };
  1841. static const unsigned int drif3_data0_b_pins[] = {
  1842. /* D0 */
  1843. RCAR_GP_PIN(6, 28),
  1844. };
  1845. static const unsigned int drif3_data0_b_mux[] = {
  1846. RIF3_D0_B_MARK,
  1847. };
  1848. static const unsigned int drif3_data1_b_pins[] = {
  1849. /* D1 */
  1850. RCAR_GP_PIN(6, 29),
  1851. };
  1852. static const unsigned int drif3_data1_b_mux[] = {
  1853. RIF3_D1_B_MARK,
  1854. };
  1855. /* - DU --------------------------------------------------------------------- */
  1856. static const unsigned int du_rgb666_pins[] = {
  1857. /* R[7:2], G[7:2], B[7:2] */
  1858. RCAR_GP_PIN(0, 15), RCAR_GP_PIN(0, 14), RCAR_GP_PIN(0, 13),
  1859. RCAR_GP_PIN(0, 12), RCAR_GP_PIN(0, 11), RCAR_GP_PIN(0, 10),
  1860. RCAR_GP_PIN(1, 15), RCAR_GP_PIN(1, 14), RCAR_GP_PIN(1, 13),
  1861. RCAR_GP_PIN(1, 12), RCAR_GP_PIN(1, 19), RCAR_GP_PIN(1, 18),
  1862. RCAR_GP_PIN(1, 7), RCAR_GP_PIN(1, 6), RCAR_GP_PIN(1, 5),
  1863. RCAR_GP_PIN(1, 4), RCAR_GP_PIN(1, 3), RCAR_GP_PIN(1, 2),
  1864. };
  1865. static const unsigned int du_rgb666_mux[] = {
  1866. DU_DR7_MARK, DU_DR6_MARK, DU_DR5_MARK, DU_DR4_MARK,
  1867. DU_DR3_MARK, DU_DR2_MARK,
  1868. DU_DG7_MARK, DU_DG6_MARK, DU_DG5_MARK, DU_DG4_MARK,
  1869. DU_DG3_MARK, DU_DG2_MARK,
  1870. DU_DB7_MARK, DU_DB6_MARK, DU_DB5_MARK, DU_DB4_MARK,
  1871. DU_DB3_MARK, DU_DB2_MARK,
  1872. };
  1873. static const unsigned int du_rgb888_pins[] = {
  1874. /* R[7:0], G[7:0], B[7:0] */
  1875. RCAR_GP_PIN(0, 15), RCAR_GP_PIN(0, 14), RCAR_GP_PIN(0, 13),
  1876. RCAR_GP_PIN(0, 12), RCAR_GP_PIN(0, 11), RCAR_GP_PIN(0, 10),
  1877. RCAR_GP_PIN(0, 9), RCAR_GP_PIN(0, 8),
  1878. RCAR_GP_PIN(1, 15), RCAR_GP_PIN(1, 14), RCAR_GP_PIN(1, 13),
  1879. RCAR_GP_PIN(1, 12), RCAR_GP_PIN(1, 19), RCAR_GP_PIN(1, 18),
  1880. RCAR_GP_PIN(1, 17), RCAR_GP_PIN(1, 16),
  1881. RCAR_GP_PIN(1, 7), RCAR_GP_PIN(1, 6), RCAR_GP_PIN(1, 5),
  1882. RCAR_GP_PIN(1, 4), RCAR_GP_PIN(1, 3), RCAR_GP_PIN(1, 2),
  1883. RCAR_GP_PIN(1, 1), RCAR_GP_PIN(1, 0),
  1884. };
  1885. static const unsigned int du_rgb888_mux[] = {
  1886. DU_DR7_MARK, DU_DR6_MARK, DU_DR5_MARK, DU_DR4_MARK,
  1887. DU_DR3_MARK, DU_DR2_MARK, DU_DR1_MARK, DU_DR0_MARK,
  1888. DU_DG7_MARK, DU_DG6_MARK, DU_DG5_MARK, DU_DG4_MARK,
  1889. DU_DG3_MARK, DU_DG2_MARK, DU_DG1_MARK, DU_DG0_MARK,
  1890. DU_DB7_MARK, DU_DB6_MARK, DU_DB5_MARK, DU_DB4_MARK,
  1891. DU_DB3_MARK, DU_DB2_MARK, DU_DB1_MARK, DU_DB0_MARK,
  1892. };
  1893. static const unsigned int du_clk_out_0_pins[] = {
  1894. /* CLKOUT */
  1895. RCAR_GP_PIN(1, 27),
  1896. };
  1897. static const unsigned int du_clk_out_0_mux[] = {
  1898. DU_DOTCLKOUT0_MARK
  1899. };
  1900. static const unsigned int du_clk_out_1_pins[] = {
  1901. /* CLKOUT */
  1902. RCAR_GP_PIN(2, 3),
  1903. };
  1904. static const unsigned int du_clk_out_1_mux[] = {
  1905. DU_DOTCLKOUT1_MARK
  1906. };
  1907. static const unsigned int du_sync_pins[] = {
  1908. /* EXVSYNC/VSYNC, EXHSYNC/HSYNC */
  1909. RCAR_GP_PIN(2, 5), RCAR_GP_PIN(2, 4),
  1910. };
  1911. static const unsigned int du_sync_mux[] = {
  1912. DU_EXVSYNC_DU_VSYNC_MARK, DU_EXHSYNC_DU_HSYNC_MARK
  1913. };
  1914. static const unsigned int du_oddf_pins[] = {
  1915. /* EXDISP/EXODDF/EXCDE */
  1916. RCAR_GP_PIN(2, 2),
  1917. };
  1918. static const unsigned int du_oddf_mux[] = {
  1919. DU_EXODDF_DU_ODDF_DISP_CDE_MARK,
  1920. };
  1921. static const unsigned int du_cde_pins[] = {
  1922. /* CDE */
  1923. RCAR_GP_PIN(2, 0),
  1924. };
  1925. static const unsigned int du_cde_mux[] = {
  1926. DU_CDE_MARK,
  1927. };
  1928. static const unsigned int du_disp_pins[] = {
  1929. /* DISP */
  1930. RCAR_GP_PIN(2, 1),
  1931. };
  1932. static const unsigned int du_disp_mux[] = {
  1933. DU_DISP_MARK,
  1934. };
  1935. /* - HSCIF0 ----------------------------------------------------------------- */
  1936. static const unsigned int hscif0_data_pins[] = {
  1937. /* RX, TX */
  1938. RCAR_GP_PIN(5, 13), RCAR_GP_PIN(5, 14),
  1939. };
  1940. static const unsigned int hscif0_data_mux[] = {
  1941. HRX0_MARK, HTX0_MARK,
  1942. };
  1943. static const unsigned int hscif0_clk_pins[] = {
  1944. /* SCK */
  1945. RCAR_GP_PIN(5, 12),
  1946. };
  1947. static const unsigned int hscif0_clk_mux[] = {
  1948. HSCK0_MARK,
  1949. };
  1950. static const unsigned int hscif0_ctrl_pins[] = {
  1951. /* RTS, CTS */
  1952. RCAR_GP_PIN(5, 16), RCAR_GP_PIN(5, 15),
  1953. };
  1954. static const unsigned int hscif0_ctrl_mux[] = {
  1955. HRTS0_N_MARK, HCTS0_N_MARK,
  1956. };
  1957. /* - HSCIF1 ----------------------------------------------------------------- */
  1958. static const unsigned int hscif1_data_a_pins[] = {
  1959. /* RX, TX */
  1960. RCAR_GP_PIN(5, 5), RCAR_GP_PIN(5, 6),
  1961. };
  1962. static const unsigned int hscif1_data_a_mux[] = {
  1963. HRX1_A_MARK, HTX1_A_MARK,
  1964. };
  1965. static const unsigned int hscif1_clk_a_pins[] = {
  1966. /* SCK */
  1967. RCAR_GP_PIN(6, 21),
  1968. };
  1969. static const unsigned int hscif1_clk_a_mux[] = {
  1970. HSCK1_A_MARK,
  1971. };
  1972. static const unsigned int hscif1_ctrl_a_pins[] = {
  1973. /* RTS, CTS */
  1974. RCAR_GP_PIN(5, 8), RCAR_GP_PIN(5, 7),
  1975. };
  1976. static const unsigned int hscif1_ctrl_a_mux[] = {
  1977. HRTS1_N_A_MARK, HCTS1_N_A_MARK,
  1978. };
  1979. static const unsigned int hscif1_data_b_pins[] = {
  1980. /* RX, TX */
  1981. RCAR_GP_PIN(5, 1), RCAR_GP_PIN(5, 2),
  1982. };
  1983. static const unsigned int hscif1_data_b_mux[] = {
  1984. HRX1_B_MARK, HTX1_B_MARK,
  1985. };
  1986. static const unsigned int hscif1_clk_b_pins[] = {
  1987. /* SCK */
  1988. RCAR_GP_PIN(5, 0),
  1989. };
  1990. static const unsigned int hscif1_clk_b_mux[] = {
  1991. HSCK1_B_MARK,
  1992. };
  1993. static const unsigned int hscif1_ctrl_b_pins[] = {
  1994. /* RTS, CTS */
  1995. RCAR_GP_PIN(5, 4), RCAR_GP_PIN(5, 3),
  1996. };
  1997. static const unsigned int hscif1_ctrl_b_mux[] = {
  1998. HRTS1_N_B_MARK, HCTS1_N_B_MARK,
  1999. };
  2000. /* - HSCIF2 ----------------------------------------------------------------- */
  2001. static const unsigned int hscif2_data_a_pins[] = {
  2002. /* RX, TX */
  2003. RCAR_GP_PIN(6, 8), RCAR_GP_PIN(6, 9),
  2004. };
  2005. static const unsigned int hscif2_data_a_mux[] = {
  2006. HRX2_A_MARK, HTX2_A_MARK,
  2007. };
  2008. static const unsigned int hscif2_clk_a_pins[] = {
  2009. /* SCK */
  2010. RCAR_GP_PIN(6, 10),
  2011. };
  2012. static const unsigned int hscif2_clk_a_mux[] = {
  2013. HSCK2_A_MARK,
  2014. };
  2015. static const unsigned int hscif2_ctrl_a_pins[] = {
  2016. /* RTS, CTS */
  2017. RCAR_GP_PIN(6, 7), RCAR_GP_PIN(6, 6),
  2018. };
  2019. static const unsigned int hscif2_ctrl_a_mux[] = {
  2020. HRTS2_N_A_MARK, HCTS2_N_A_MARK,
  2021. };
  2022. static const unsigned int hscif2_data_b_pins[] = {
  2023. /* RX, TX */
  2024. RCAR_GP_PIN(6, 17), RCAR_GP_PIN(6, 18),
  2025. };
  2026. static const unsigned int hscif2_data_b_mux[] = {
  2027. HRX2_B_MARK, HTX2_B_MARK,
  2028. };
  2029. static const unsigned int hscif2_clk_b_pins[] = {
  2030. /* SCK */
  2031. RCAR_GP_PIN(6, 21),
  2032. };
  2033. static const unsigned int hscif2_clk_b_mux[] = {
  2034. HSCK2_B_MARK,
  2035. };
  2036. static const unsigned int hscif2_ctrl_b_pins[] = {
  2037. /* RTS, CTS */
  2038. RCAR_GP_PIN(6, 20), RCAR_GP_PIN(6, 19),
  2039. };
  2040. static const unsigned int hscif2_ctrl_b_mux[] = {
  2041. HRTS2_N_B_MARK, HCTS2_N_B_MARK,
  2042. };
  2043. static const unsigned int hscif2_data_c_pins[] = {
  2044. /* RX, TX */
  2045. RCAR_GP_PIN(6, 25), RCAR_GP_PIN(6, 26),
  2046. };
  2047. static const unsigned int hscif2_data_c_mux[] = {
  2048. HRX2_C_MARK, HTX2_C_MARK,
  2049. };
  2050. static const unsigned int hscif2_clk_c_pins[] = {
  2051. /* SCK */
  2052. RCAR_GP_PIN(6, 24),
  2053. };
  2054. static const unsigned int hscif2_clk_c_mux[] = {
  2055. HSCK2_C_MARK,
  2056. };
  2057. static const unsigned int hscif2_ctrl_c_pins[] = {
  2058. /* RTS, CTS */
  2059. RCAR_GP_PIN(6, 28), RCAR_GP_PIN(6, 27),
  2060. };
  2061. static const unsigned int hscif2_ctrl_c_mux[] = {
  2062. HRTS2_N_C_MARK, HCTS2_N_C_MARK,
  2063. };
  2064. /* - HSCIF3 ----------------------------------------------------------------- */
  2065. static const unsigned int hscif3_data_a_pins[] = {
  2066. /* RX, TX */
  2067. RCAR_GP_PIN(1, 23), RCAR_GP_PIN(1, 24),
  2068. };
  2069. static const unsigned int hscif3_data_a_mux[] = {
  2070. HRX3_A_MARK, HTX3_A_MARK,
  2071. };
  2072. static const unsigned int hscif3_clk_pins[] = {
  2073. /* SCK */
  2074. RCAR_GP_PIN(1, 22),
  2075. };
  2076. static const unsigned int hscif3_clk_mux[] = {
  2077. HSCK3_MARK,
  2078. };
  2079. static const unsigned int hscif3_ctrl_pins[] = {
  2080. /* RTS, CTS */
  2081. RCAR_GP_PIN(1, 26), RCAR_GP_PIN(1, 25),
  2082. };
  2083. static const unsigned int hscif3_ctrl_mux[] = {
  2084. HRTS3_N_MARK, HCTS3_N_MARK,
  2085. };
  2086. static const unsigned int hscif3_data_b_pins[] = {
  2087. /* RX, TX */
  2088. RCAR_GP_PIN(0, 10), RCAR_GP_PIN(0, 11),
  2089. };
  2090. static const unsigned int hscif3_data_b_mux[] = {
  2091. HRX3_B_MARK, HTX3_B_MARK,
  2092. };
  2093. static const unsigned int hscif3_data_c_pins[] = {
  2094. /* RX, TX */
  2095. RCAR_GP_PIN(0, 14), RCAR_GP_PIN(0, 15),
  2096. };
  2097. static const unsigned int hscif3_data_c_mux[] = {
  2098. HRX3_C_MARK, HTX3_C_MARK,
  2099. };
  2100. static const unsigned int hscif3_data_d_pins[] = {
  2101. /* RX, TX */
  2102. RCAR_GP_PIN(2, 7), RCAR_GP_PIN(2, 8),
  2103. };
  2104. static const unsigned int hscif3_data_d_mux[] = {
  2105. HRX3_D_MARK, HTX3_D_MARK,
  2106. };
  2107. /* - HSCIF4 ----------------------------------------------------------------- */
  2108. static const unsigned int hscif4_data_a_pins[] = {
  2109. /* RX, TX */
  2110. RCAR_GP_PIN(1, 12), RCAR_GP_PIN(1, 13),
  2111. };
  2112. static const unsigned int hscif4_data_a_mux[] = {
  2113. HRX4_A_MARK, HTX4_A_MARK,
  2114. };
  2115. static const unsigned int hscif4_clk_pins[] = {
  2116. /* SCK */
  2117. RCAR_GP_PIN(1, 11),
  2118. };
  2119. static const unsigned int hscif4_clk_mux[] = {
  2120. HSCK4_MARK,
  2121. };
  2122. static const unsigned int hscif4_ctrl_pins[] = {
  2123. /* RTS, CTS */
  2124. RCAR_GP_PIN(1, 15), RCAR_GP_PIN(1, 14),
  2125. };
  2126. static const unsigned int hscif4_ctrl_mux[] = {
  2127. HRTS4_N_MARK, HCTS4_N_MARK,
  2128. };
  2129. static const unsigned int hscif4_data_b_pins[] = {
  2130. /* RX, TX */
  2131. RCAR_GP_PIN(1, 8), RCAR_GP_PIN(1, 11),
  2132. };
  2133. static const unsigned int hscif4_data_b_mux[] = {
  2134. HRX4_B_MARK, HTX4_B_MARK,
  2135. };
  2136. /* - I2C -------------------------------------------------------------------- */
  2137. static const unsigned int i2c0_pins[] = {
  2138. /* SCL, SDA */
  2139. RCAR_GP_PIN(3, 14), RCAR_GP_PIN(3, 15),
  2140. };
  2141. static const unsigned int i2c0_mux[] = {
  2142. SCL0_MARK, SDA0_MARK,
  2143. };
  2144. static const unsigned int i2c1_a_pins[] = {
  2145. /* SDA, SCL */
  2146. RCAR_GP_PIN(5, 11), RCAR_GP_PIN(5, 10),
  2147. };
  2148. static const unsigned int i2c1_a_mux[] = {
  2149. SDA1_A_MARK, SCL1_A_MARK,
  2150. };
  2151. static const unsigned int i2c1_b_pins[] = {
  2152. /* SDA, SCL */
  2153. RCAR_GP_PIN(5, 24), RCAR_GP_PIN(5, 23),
  2154. };
  2155. static const unsigned int i2c1_b_mux[] = {
  2156. SDA1_B_MARK, SCL1_B_MARK,
  2157. };
  2158. static const unsigned int i2c2_a_pins[] = {
  2159. /* SDA, SCL */
  2160. RCAR_GP_PIN(5, 0), RCAR_GP_PIN(5, 4),
  2161. };
  2162. static const unsigned int i2c2_a_mux[] = {
  2163. SDA2_A_MARK, SCL2_A_MARK,
  2164. };
  2165. static const unsigned int i2c2_b_pins[] = {
  2166. /* SDA, SCL */
  2167. RCAR_GP_PIN(3, 13), RCAR_GP_PIN(3, 12),
  2168. };
  2169. static const unsigned int i2c2_b_mux[] = {
  2170. SDA2_B_MARK, SCL2_B_MARK,
  2171. };
  2172. static const unsigned int i2c3_pins[] = {
  2173. /* SCL, SDA */
  2174. RCAR_GP_PIN(2, 7), RCAR_GP_PIN(2, 8),
  2175. };
  2176. static const unsigned int i2c3_mux[] = {
  2177. SCL3_MARK, SDA3_MARK,
  2178. };
  2179. static const unsigned int i2c5_pins[] = {
  2180. /* SCL, SDA */
  2181. RCAR_GP_PIN(2, 13), RCAR_GP_PIN(2, 14),
  2182. };
  2183. static const unsigned int i2c5_mux[] = {
  2184. SCL5_MARK, SDA5_MARK,
  2185. };
  2186. static const unsigned int i2c6_a_pins[] = {
  2187. /* SDA, SCL */
  2188. RCAR_GP_PIN(1, 8), RCAR_GP_PIN(1, 11),
  2189. };
  2190. static const unsigned int i2c6_a_mux[] = {
  2191. SDA6_A_MARK, SCL6_A_MARK,
  2192. };
  2193. static const unsigned int i2c6_b_pins[] = {
  2194. /* SDA, SCL */
  2195. RCAR_GP_PIN(1, 26), RCAR_GP_PIN(1, 25),
  2196. };
  2197. static const unsigned int i2c6_b_mux[] = {
  2198. SDA6_B_MARK, SCL6_B_MARK,
  2199. };
  2200. static const unsigned int i2c6_c_pins[] = {
  2201. /* SDA, SCL */
  2202. RCAR_GP_PIN(0, 15), RCAR_GP_PIN(0, 14),
  2203. };
  2204. static const unsigned int i2c6_c_mux[] = {
  2205. SDA6_C_MARK, SCL6_C_MARK,
  2206. };
  2207. /* - INTC-EX ---------------------------------------------------------------- */
  2208. static const unsigned int intc_ex_irq0_pins[] = {
  2209. /* IRQ0 */
  2210. RCAR_GP_PIN(2, 0),
  2211. };
  2212. static const unsigned int intc_ex_irq0_mux[] = {
  2213. IRQ0_MARK,
  2214. };
  2215. static const unsigned int intc_ex_irq1_pins[] = {
  2216. /* IRQ1 */
  2217. RCAR_GP_PIN(2, 1),
  2218. };
  2219. static const unsigned int intc_ex_irq1_mux[] = {
  2220. IRQ1_MARK,
  2221. };
  2222. static const unsigned int intc_ex_irq2_pins[] = {
  2223. /* IRQ2 */
  2224. RCAR_GP_PIN(2, 2),
  2225. };
  2226. static const unsigned int intc_ex_irq2_mux[] = {
  2227. IRQ2_MARK,
  2228. };
  2229. static const unsigned int intc_ex_irq3_pins[] = {
  2230. /* IRQ3 */
  2231. RCAR_GP_PIN(2, 3),
  2232. };
  2233. static const unsigned int intc_ex_irq3_mux[] = {
  2234. IRQ3_MARK,
  2235. };
  2236. static const unsigned int intc_ex_irq4_pins[] = {
  2237. /* IRQ4 */
  2238. RCAR_GP_PIN(2, 4),
  2239. };
  2240. static const unsigned int intc_ex_irq4_mux[] = {
  2241. IRQ4_MARK,
  2242. };
  2243. static const unsigned int intc_ex_irq5_pins[] = {
  2244. /* IRQ5 */
  2245. RCAR_GP_PIN(2, 5),
  2246. };
  2247. static const unsigned int intc_ex_irq5_mux[] = {
  2248. IRQ5_MARK,
  2249. };
  2250. /* - MSIOF0 ----------------------------------------------------------------- */
  2251. static const unsigned int msiof0_clk_pins[] = {
  2252. /* SCK */
  2253. RCAR_GP_PIN(5, 17),
  2254. };
  2255. static const unsigned int msiof0_clk_mux[] = {
  2256. MSIOF0_SCK_MARK,
  2257. };
  2258. static const unsigned int msiof0_sync_pins[] = {
  2259. /* SYNC */
  2260. RCAR_GP_PIN(5, 18),
  2261. };
  2262. static const unsigned int msiof0_sync_mux[] = {
  2263. MSIOF0_SYNC_MARK,
  2264. };
  2265. static const unsigned int msiof0_ss1_pins[] = {
  2266. /* SS1 */
  2267. RCAR_GP_PIN(5, 19),
  2268. };
  2269. static const unsigned int msiof0_ss1_mux[] = {
  2270. MSIOF0_SS1_MARK,
  2271. };
  2272. static const unsigned int msiof0_ss2_pins[] = {
  2273. /* SS2 */
  2274. RCAR_GP_PIN(5, 21),
  2275. };
  2276. static const unsigned int msiof0_ss2_mux[] = {
  2277. MSIOF0_SS2_MARK,
  2278. };
  2279. static const unsigned int msiof0_txd_pins[] = {
  2280. /* TXD */
  2281. RCAR_GP_PIN(5, 20),
  2282. };
  2283. static const unsigned int msiof0_txd_mux[] = {
  2284. MSIOF0_TXD_MARK,
  2285. };
  2286. static const unsigned int msiof0_rxd_pins[] = {
  2287. /* RXD */
  2288. RCAR_GP_PIN(5, 22),
  2289. };
  2290. static const unsigned int msiof0_rxd_mux[] = {
  2291. MSIOF0_RXD_MARK,
  2292. };
  2293. /* - MSIOF1 ----------------------------------------------------------------- */
  2294. static const unsigned int msiof1_clk_a_pins[] = {
  2295. /* SCK */
  2296. RCAR_GP_PIN(6, 8),
  2297. };
  2298. static const unsigned int msiof1_clk_a_mux[] = {
  2299. MSIOF1_SCK_A_MARK,
  2300. };
  2301. static const unsigned int msiof1_sync_a_pins[] = {
  2302. /* SYNC */
  2303. RCAR_GP_PIN(6, 9),
  2304. };
  2305. static const unsigned int msiof1_sync_a_mux[] = {
  2306. MSIOF1_SYNC_A_MARK,
  2307. };
  2308. static const unsigned int msiof1_ss1_a_pins[] = {
  2309. /* SS1 */
  2310. RCAR_GP_PIN(6, 5),
  2311. };
  2312. static const unsigned int msiof1_ss1_a_mux[] = {
  2313. MSIOF1_SS1_A_MARK,
  2314. };
  2315. static const unsigned int msiof1_ss2_a_pins[] = {
  2316. /* SS2 */
  2317. RCAR_GP_PIN(6, 6),
  2318. };
  2319. static const unsigned int msiof1_ss2_a_mux[] = {
  2320. MSIOF1_SS2_A_MARK,
  2321. };
  2322. static const unsigned int msiof1_txd_a_pins[] = {
  2323. /* TXD */
  2324. RCAR_GP_PIN(6, 7),
  2325. };
  2326. static const unsigned int msiof1_txd_a_mux[] = {
  2327. MSIOF1_TXD_A_MARK,
  2328. };
  2329. static const unsigned int msiof1_rxd_a_pins[] = {
  2330. /* RXD */
  2331. RCAR_GP_PIN(6, 10),
  2332. };
  2333. static const unsigned int msiof1_rxd_a_mux[] = {
  2334. MSIOF1_RXD_A_MARK,
  2335. };
  2336. static const unsigned int msiof1_clk_b_pins[] = {
  2337. /* SCK */
  2338. RCAR_GP_PIN(5, 9),
  2339. };
  2340. static const unsigned int msiof1_clk_b_mux[] = {
  2341. MSIOF1_SCK_B_MARK,
  2342. };
  2343. static const unsigned int msiof1_sync_b_pins[] = {
  2344. /* SYNC */
  2345. RCAR_GP_PIN(5, 3),
  2346. };
  2347. static const unsigned int msiof1_sync_b_mux[] = {
  2348. MSIOF1_SYNC_B_MARK,
  2349. };
  2350. static const unsigned int msiof1_ss1_b_pins[] = {
  2351. /* SS1 */
  2352. RCAR_GP_PIN(5, 4),
  2353. };
  2354. static const unsigned int msiof1_ss1_b_mux[] = {
  2355. MSIOF1_SS1_B_MARK,
  2356. };
  2357. static const unsigned int msiof1_ss2_b_pins[] = {
  2358. /* SS2 */
  2359. RCAR_GP_PIN(5, 0),
  2360. };
  2361. static const unsigned int msiof1_ss2_b_mux[] = {
  2362. MSIOF1_SS2_B_MARK,
  2363. };
  2364. static const unsigned int msiof1_txd_b_pins[] = {
  2365. /* TXD */
  2366. RCAR_GP_PIN(5, 8),
  2367. };
  2368. static const unsigned int msiof1_txd_b_mux[] = {
  2369. MSIOF1_TXD_B_MARK,
  2370. };
  2371. static const unsigned int msiof1_rxd_b_pins[] = {
  2372. /* RXD */
  2373. RCAR_GP_PIN(5, 7),
  2374. };
  2375. static const unsigned int msiof1_rxd_b_mux[] = {
  2376. MSIOF1_RXD_B_MARK,
  2377. };
  2378. static const unsigned int msiof1_clk_c_pins[] = {
  2379. /* SCK */
  2380. RCAR_GP_PIN(6, 17),
  2381. };
  2382. static const unsigned int msiof1_clk_c_mux[] = {
  2383. MSIOF1_SCK_C_MARK,
  2384. };
  2385. static const unsigned int msiof1_sync_c_pins[] = {
  2386. /* SYNC */
  2387. RCAR_GP_PIN(6, 18),
  2388. };
  2389. static const unsigned int msiof1_sync_c_mux[] = {
  2390. MSIOF1_SYNC_C_MARK,
  2391. };
  2392. static const unsigned int msiof1_ss1_c_pins[] = {
  2393. /* SS1 */
  2394. RCAR_GP_PIN(6, 21),
  2395. };
  2396. static const unsigned int msiof1_ss1_c_mux[] = {
  2397. MSIOF1_SS1_C_MARK,
  2398. };
  2399. static const unsigned int msiof1_ss2_c_pins[] = {
  2400. /* SS2 */
  2401. RCAR_GP_PIN(6, 27),
  2402. };
  2403. static const unsigned int msiof1_ss2_c_mux[] = {
  2404. MSIOF1_SS2_C_MARK,
  2405. };
  2406. static const unsigned int msiof1_txd_c_pins[] = {
  2407. /* TXD */
  2408. RCAR_GP_PIN(6, 20),
  2409. };
  2410. static const unsigned int msiof1_txd_c_mux[] = {
  2411. MSIOF1_TXD_C_MARK,
  2412. };
  2413. static const unsigned int msiof1_rxd_c_pins[] = {
  2414. /* RXD */
  2415. RCAR_GP_PIN(6, 19),
  2416. };
  2417. static const unsigned int msiof1_rxd_c_mux[] = {
  2418. MSIOF1_RXD_C_MARK,
  2419. };
  2420. static const unsigned int msiof1_clk_d_pins[] = {
  2421. /* SCK */
  2422. RCAR_GP_PIN(5, 12),
  2423. };
  2424. static const unsigned int msiof1_clk_d_mux[] = {
  2425. MSIOF1_SCK_D_MARK,
  2426. };
  2427. static const unsigned int msiof1_sync_d_pins[] = {
  2428. /* SYNC */
  2429. RCAR_GP_PIN(5, 15),
  2430. };
  2431. static const unsigned int msiof1_sync_d_mux[] = {
  2432. MSIOF1_SYNC_D_MARK,
  2433. };
  2434. static const unsigned int msiof1_ss1_d_pins[] = {
  2435. /* SS1 */
  2436. RCAR_GP_PIN(5, 16),
  2437. };
  2438. static const unsigned int msiof1_ss1_d_mux[] = {
  2439. MSIOF1_SS1_D_MARK,
  2440. };
  2441. static const unsigned int msiof1_ss2_d_pins[] = {
  2442. /* SS2 */
  2443. RCAR_GP_PIN(5, 21),
  2444. };
  2445. static const unsigned int msiof1_ss2_d_mux[] = {
  2446. MSIOF1_SS2_D_MARK,
  2447. };
  2448. static const unsigned int msiof1_txd_d_pins[] = {
  2449. /* TXD */
  2450. RCAR_GP_PIN(5, 14),
  2451. };
  2452. static const unsigned int msiof1_txd_d_mux[] = {
  2453. MSIOF1_TXD_D_MARK,
  2454. };
  2455. static const unsigned int msiof1_rxd_d_pins[] = {
  2456. /* RXD */
  2457. RCAR_GP_PIN(5, 13),
  2458. };
  2459. static const unsigned int msiof1_rxd_d_mux[] = {
  2460. MSIOF1_RXD_D_MARK,
  2461. };
  2462. static const unsigned int msiof1_clk_e_pins[] = {
  2463. /* SCK */
  2464. RCAR_GP_PIN(3, 0),
  2465. };
  2466. static const unsigned int msiof1_clk_e_mux[] = {
  2467. MSIOF1_SCK_E_MARK,
  2468. };
  2469. static const unsigned int msiof1_sync_e_pins[] = {
  2470. /* SYNC */
  2471. RCAR_GP_PIN(3, 1),
  2472. };
  2473. static const unsigned int msiof1_sync_e_mux[] = {
  2474. MSIOF1_SYNC_E_MARK,
  2475. };
  2476. static const unsigned int msiof1_ss1_e_pins[] = {
  2477. /* SS1 */
  2478. RCAR_GP_PIN(3, 4),
  2479. };
  2480. static const unsigned int msiof1_ss1_e_mux[] = {
  2481. MSIOF1_SS1_E_MARK,
  2482. };
  2483. static const unsigned int msiof1_ss2_e_pins[] = {
  2484. /* SS2 */
  2485. RCAR_GP_PIN(3, 5),
  2486. };
  2487. static const unsigned int msiof1_ss2_e_mux[] = {
  2488. MSIOF1_SS2_E_MARK,
  2489. };
  2490. static const unsigned int msiof1_txd_e_pins[] = {
  2491. /* TXD */
  2492. RCAR_GP_PIN(3, 3),
  2493. };
  2494. static const unsigned int msiof1_txd_e_mux[] = {
  2495. MSIOF1_TXD_E_MARK,
  2496. };
  2497. static const unsigned int msiof1_rxd_e_pins[] = {
  2498. /* RXD */
  2499. RCAR_GP_PIN(3, 2),
  2500. };
  2501. static const unsigned int msiof1_rxd_e_mux[] = {
  2502. MSIOF1_RXD_E_MARK,
  2503. };
  2504. static const unsigned int msiof1_clk_f_pins[] = {
  2505. /* SCK */
  2506. RCAR_GP_PIN(5, 23),
  2507. };
  2508. static const unsigned int msiof1_clk_f_mux[] = {
  2509. MSIOF1_SCK_F_MARK,
  2510. };
  2511. static const unsigned int msiof1_sync_f_pins[] = {
  2512. /* SYNC */
  2513. RCAR_GP_PIN(5, 24),
  2514. };
  2515. static const unsigned int msiof1_sync_f_mux[] = {
  2516. MSIOF1_SYNC_F_MARK,
  2517. };
  2518. static const unsigned int msiof1_ss1_f_pins[] = {
  2519. /* SS1 */
  2520. RCAR_GP_PIN(6, 1),
  2521. };
  2522. static const unsigned int msiof1_ss1_f_mux[] = {
  2523. MSIOF1_SS1_F_MARK,
  2524. };
  2525. static const unsigned int msiof1_ss2_f_pins[] = {
  2526. /* SS2 */
  2527. RCAR_GP_PIN(6, 2),
  2528. };
  2529. static const unsigned int msiof1_ss2_f_mux[] = {
  2530. MSIOF1_SS2_F_MARK,
  2531. };
  2532. static const unsigned int msiof1_txd_f_pins[] = {
  2533. /* TXD */
  2534. RCAR_GP_PIN(6, 0),
  2535. };
  2536. static const unsigned int msiof1_txd_f_mux[] = {
  2537. MSIOF1_TXD_F_MARK,
  2538. };
  2539. static const unsigned int msiof1_rxd_f_pins[] = {
  2540. /* RXD */
  2541. RCAR_GP_PIN(5, 25),
  2542. };
  2543. static const unsigned int msiof1_rxd_f_mux[] = {
  2544. MSIOF1_RXD_F_MARK,
  2545. };
  2546. static const unsigned int msiof1_clk_g_pins[] = {
  2547. /* SCK */
  2548. RCAR_GP_PIN(3, 6),
  2549. };
  2550. static const unsigned int msiof1_clk_g_mux[] = {
  2551. MSIOF1_SCK_G_MARK,
  2552. };
  2553. static const unsigned int msiof1_sync_g_pins[] = {
  2554. /* SYNC */
  2555. RCAR_GP_PIN(3, 7),
  2556. };
  2557. static const unsigned int msiof1_sync_g_mux[] = {
  2558. MSIOF1_SYNC_G_MARK,
  2559. };
  2560. static const unsigned int msiof1_ss1_g_pins[] = {
  2561. /* SS1 */
  2562. RCAR_GP_PIN(3, 10),
  2563. };
  2564. static const unsigned int msiof1_ss1_g_mux[] = {
  2565. MSIOF1_SS1_G_MARK,
  2566. };
  2567. static const unsigned int msiof1_ss2_g_pins[] = {
  2568. /* SS2 */
  2569. RCAR_GP_PIN(3, 11),
  2570. };
  2571. static const unsigned int msiof1_ss2_g_mux[] = {
  2572. MSIOF1_SS2_G_MARK,
  2573. };
  2574. static const unsigned int msiof1_txd_g_pins[] = {
  2575. /* TXD */
  2576. RCAR_GP_PIN(3, 9),
  2577. };
  2578. static const unsigned int msiof1_txd_g_mux[] = {
  2579. MSIOF1_TXD_G_MARK,
  2580. };
  2581. static const unsigned int msiof1_rxd_g_pins[] = {
  2582. /* RXD */
  2583. RCAR_GP_PIN(3, 8),
  2584. };
  2585. static const unsigned int msiof1_rxd_g_mux[] = {
  2586. MSIOF1_RXD_G_MARK,
  2587. };
  2588. /* - MSIOF2 ----------------------------------------------------------------- */
  2589. static const unsigned int msiof2_clk_a_pins[] = {
  2590. /* SCK */
  2591. RCAR_GP_PIN(1, 9),
  2592. };
  2593. static const unsigned int msiof2_clk_a_mux[] = {
  2594. MSIOF2_SCK_A_MARK,
  2595. };
  2596. static const unsigned int msiof2_sync_a_pins[] = {
  2597. /* SYNC */
  2598. RCAR_GP_PIN(1, 8),
  2599. };
  2600. static const unsigned int msiof2_sync_a_mux[] = {
  2601. MSIOF2_SYNC_A_MARK,
  2602. };
  2603. static const unsigned int msiof2_ss1_a_pins[] = {
  2604. /* SS1 */
  2605. RCAR_GP_PIN(1, 6),
  2606. };
  2607. static const unsigned int msiof2_ss1_a_mux[] = {
  2608. MSIOF2_SS1_A_MARK,
  2609. };
  2610. static const unsigned int msiof2_ss2_a_pins[] = {
  2611. /* SS2 */
  2612. RCAR_GP_PIN(1, 7),
  2613. };
  2614. static const unsigned int msiof2_ss2_a_mux[] = {
  2615. MSIOF2_SS2_A_MARK,
  2616. };
  2617. static const unsigned int msiof2_txd_a_pins[] = {
  2618. /* TXD */
  2619. RCAR_GP_PIN(1, 11),
  2620. };
  2621. static const unsigned int msiof2_txd_a_mux[] = {
  2622. MSIOF2_TXD_A_MARK,
  2623. };
  2624. static const unsigned int msiof2_rxd_a_pins[] = {
  2625. /* RXD */
  2626. RCAR_GP_PIN(1, 10),
  2627. };
  2628. static const unsigned int msiof2_rxd_a_mux[] = {
  2629. MSIOF2_RXD_A_MARK,
  2630. };
  2631. static const unsigned int msiof2_clk_b_pins[] = {
  2632. /* SCK */
  2633. RCAR_GP_PIN(0, 4),
  2634. };
  2635. static const unsigned int msiof2_clk_b_mux[] = {
  2636. MSIOF2_SCK_B_MARK,
  2637. };
  2638. static const unsigned int msiof2_sync_b_pins[] = {
  2639. /* SYNC */
  2640. RCAR_GP_PIN(0, 5),
  2641. };
  2642. static const unsigned int msiof2_sync_b_mux[] = {
  2643. MSIOF2_SYNC_B_MARK,
  2644. };
  2645. static const unsigned int msiof2_ss1_b_pins[] = {
  2646. /* SS1 */
  2647. RCAR_GP_PIN(0, 0),
  2648. };
  2649. static const unsigned int msiof2_ss1_b_mux[] = {
  2650. MSIOF2_SS1_B_MARK,
  2651. };
  2652. static const unsigned int msiof2_ss2_b_pins[] = {
  2653. /* SS2 */
  2654. RCAR_GP_PIN(0, 1),
  2655. };
  2656. static const unsigned int msiof2_ss2_b_mux[] = {
  2657. MSIOF2_SS2_B_MARK,
  2658. };
  2659. static const unsigned int msiof2_txd_b_pins[] = {
  2660. /* TXD */
  2661. RCAR_GP_PIN(0, 7),
  2662. };
  2663. static const unsigned int msiof2_txd_b_mux[] = {
  2664. MSIOF2_TXD_B_MARK,
  2665. };
  2666. static const unsigned int msiof2_rxd_b_pins[] = {
  2667. /* RXD */
  2668. RCAR_GP_PIN(0, 6),
  2669. };
  2670. static const unsigned int msiof2_rxd_b_mux[] = {
  2671. MSIOF2_RXD_B_MARK,
  2672. };
  2673. static const unsigned int msiof2_clk_c_pins[] = {
  2674. /* SCK */
  2675. RCAR_GP_PIN(2, 12),
  2676. };
  2677. static const unsigned int msiof2_clk_c_mux[] = {
  2678. MSIOF2_SCK_C_MARK,
  2679. };
  2680. static const unsigned int msiof2_sync_c_pins[] = {
  2681. /* SYNC */
  2682. RCAR_GP_PIN(2, 11),
  2683. };
  2684. static const unsigned int msiof2_sync_c_mux[] = {
  2685. MSIOF2_SYNC_C_MARK,
  2686. };
  2687. static const unsigned int msiof2_ss1_c_pins[] = {
  2688. /* SS1 */
  2689. RCAR_GP_PIN(2, 10),
  2690. };
  2691. static const unsigned int msiof2_ss1_c_mux[] = {
  2692. MSIOF2_SS1_C_MARK,
  2693. };
  2694. static const unsigned int msiof2_ss2_c_pins[] = {
  2695. /* SS2 */
  2696. RCAR_GP_PIN(2, 9),
  2697. };
  2698. static const unsigned int msiof2_ss2_c_mux[] = {
  2699. MSIOF2_SS2_C_MARK,
  2700. };
  2701. static const unsigned int msiof2_txd_c_pins[] = {
  2702. /* TXD */
  2703. RCAR_GP_PIN(2, 14),
  2704. };
  2705. static const unsigned int msiof2_txd_c_mux[] = {
  2706. MSIOF2_TXD_C_MARK,
  2707. };
  2708. static const unsigned int msiof2_rxd_c_pins[] = {
  2709. /* RXD */
  2710. RCAR_GP_PIN(2, 13),
  2711. };
  2712. static const unsigned int msiof2_rxd_c_mux[] = {
  2713. MSIOF2_RXD_C_MARK,
  2714. };
  2715. static const unsigned int msiof2_clk_d_pins[] = {
  2716. /* SCK */
  2717. RCAR_GP_PIN(0, 8),
  2718. };
  2719. static const unsigned int msiof2_clk_d_mux[] = {
  2720. MSIOF2_SCK_D_MARK,
  2721. };
  2722. static const unsigned int msiof2_sync_d_pins[] = {
  2723. /* SYNC */
  2724. RCAR_GP_PIN(0, 9),
  2725. };
  2726. static const unsigned int msiof2_sync_d_mux[] = {
  2727. MSIOF2_SYNC_D_MARK,
  2728. };
  2729. static const unsigned int msiof2_ss1_d_pins[] = {
  2730. /* SS1 */
  2731. RCAR_GP_PIN(0, 12),
  2732. };
  2733. static const unsigned int msiof2_ss1_d_mux[] = {
  2734. MSIOF2_SS1_D_MARK,
  2735. };
  2736. static const unsigned int msiof2_ss2_d_pins[] = {
  2737. /* SS2 */
  2738. RCAR_GP_PIN(0, 13),
  2739. };
  2740. static const unsigned int msiof2_ss2_d_mux[] = {
  2741. MSIOF2_SS2_D_MARK,
  2742. };
  2743. static const unsigned int msiof2_txd_d_pins[] = {
  2744. /* TXD */
  2745. RCAR_GP_PIN(0, 11),
  2746. };
  2747. static const unsigned int msiof2_txd_d_mux[] = {
  2748. MSIOF2_TXD_D_MARK,
  2749. };
  2750. static const unsigned int msiof2_rxd_d_pins[] = {
  2751. /* RXD */
  2752. RCAR_GP_PIN(0, 10),
  2753. };
  2754. static const unsigned int msiof2_rxd_d_mux[] = {
  2755. MSIOF2_RXD_D_MARK,
  2756. };
  2757. /* - MSIOF3 ----------------------------------------------------------------- */
  2758. static const unsigned int msiof3_clk_a_pins[] = {
  2759. /* SCK */
  2760. RCAR_GP_PIN(0, 0),
  2761. };
  2762. static const unsigned int msiof3_clk_a_mux[] = {
  2763. MSIOF3_SCK_A_MARK,
  2764. };
  2765. static const unsigned int msiof3_sync_a_pins[] = {
  2766. /* SYNC */
  2767. RCAR_GP_PIN(0, 1),
  2768. };
  2769. static const unsigned int msiof3_sync_a_mux[] = {
  2770. MSIOF3_SYNC_A_MARK,
  2771. };
  2772. static const unsigned int msiof3_ss1_a_pins[] = {
  2773. /* SS1 */
  2774. RCAR_GP_PIN(0, 14),
  2775. };
  2776. static const unsigned int msiof3_ss1_a_mux[] = {
  2777. MSIOF3_SS1_A_MARK,
  2778. };
  2779. static const unsigned int msiof3_ss2_a_pins[] = {
  2780. /* SS2 */
  2781. RCAR_GP_PIN(0, 15),
  2782. };
  2783. static const unsigned int msiof3_ss2_a_mux[] = {
  2784. MSIOF3_SS2_A_MARK,
  2785. };
  2786. static const unsigned int msiof3_txd_a_pins[] = {
  2787. /* TXD */
  2788. RCAR_GP_PIN(0, 3),
  2789. };
  2790. static const unsigned int msiof3_txd_a_mux[] = {
  2791. MSIOF3_TXD_A_MARK,
  2792. };
  2793. static const unsigned int msiof3_rxd_a_pins[] = {
  2794. /* RXD */
  2795. RCAR_GP_PIN(0, 2),
  2796. };
  2797. static const unsigned int msiof3_rxd_a_mux[] = {
  2798. MSIOF3_RXD_A_MARK,
  2799. };
  2800. static const unsigned int msiof3_clk_b_pins[] = {
  2801. /* SCK */
  2802. RCAR_GP_PIN(1, 2),
  2803. };
  2804. static const unsigned int msiof3_clk_b_mux[] = {
  2805. MSIOF3_SCK_B_MARK,
  2806. };
  2807. static const unsigned int msiof3_sync_b_pins[] = {
  2808. /* SYNC */
  2809. RCAR_GP_PIN(1, 0),
  2810. };
  2811. static const unsigned int msiof3_sync_b_mux[] = {
  2812. MSIOF3_SYNC_B_MARK,
  2813. };
  2814. static const unsigned int msiof3_ss1_b_pins[] = {
  2815. /* SS1 */
  2816. RCAR_GP_PIN(1, 4),
  2817. };
  2818. static const unsigned int msiof3_ss1_b_mux[] = {
  2819. MSIOF3_SS1_B_MARK,
  2820. };
  2821. static const unsigned int msiof3_ss2_b_pins[] = {
  2822. /* SS2 */
  2823. RCAR_GP_PIN(1, 5),
  2824. };
  2825. static const unsigned int msiof3_ss2_b_mux[] = {
  2826. MSIOF3_SS2_B_MARK,
  2827. };
  2828. static const unsigned int msiof3_txd_b_pins[] = {
  2829. /* TXD */
  2830. RCAR_GP_PIN(1, 1),
  2831. };
  2832. static const unsigned int msiof3_txd_b_mux[] = {
  2833. MSIOF3_TXD_B_MARK,
  2834. };
  2835. static const unsigned int msiof3_rxd_b_pins[] = {
  2836. /* RXD */
  2837. RCAR_GP_PIN(1, 3),
  2838. };
  2839. static const unsigned int msiof3_rxd_b_mux[] = {
  2840. MSIOF3_RXD_B_MARK,
  2841. };
  2842. static const unsigned int msiof3_clk_c_pins[] = {
  2843. /* SCK */
  2844. RCAR_GP_PIN(1, 12),
  2845. };
  2846. static const unsigned int msiof3_clk_c_mux[] = {
  2847. MSIOF3_SCK_C_MARK,
  2848. };
  2849. static const unsigned int msiof3_sync_c_pins[] = {
  2850. /* SYNC */
  2851. RCAR_GP_PIN(1, 13),
  2852. };
  2853. static const unsigned int msiof3_sync_c_mux[] = {
  2854. MSIOF3_SYNC_C_MARK,
  2855. };
  2856. static const unsigned int msiof3_txd_c_pins[] = {
  2857. /* TXD */
  2858. RCAR_GP_PIN(1, 15),
  2859. };
  2860. static const unsigned int msiof3_txd_c_mux[] = {
  2861. MSIOF3_TXD_C_MARK,
  2862. };
  2863. static const unsigned int msiof3_rxd_c_pins[] = {
  2864. /* RXD */
  2865. RCAR_GP_PIN(1, 14),
  2866. };
  2867. static const unsigned int msiof3_rxd_c_mux[] = {
  2868. MSIOF3_RXD_C_MARK,
  2869. };
  2870. static const unsigned int msiof3_clk_d_pins[] = {
  2871. /* SCK */
  2872. RCAR_GP_PIN(1, 22),
  2873. };
  2874. static const unsigned int msiof3_clk_d_mux[] = {
  2875. MSIOF3_SCK_D_MARK,
  2876. };
  2877. static const unsigned int msiof3_sync_d_pins[] = {
  2878. /* SYNC */
  2879. RCAR_GP_PIN(1, 23),
  2880. };
  2881. static const unsigned int msiof3_sync_d_mux[] = {
  2882. MSIOF3_SYNC_D_MARK,
  2883. };
  2884. static const unsigned int msiof3_ss1_d_pins[] = {
  2885. /* SS1 */
  2886. RCAR_GP_PIN(1, 26),
  2887. };
  2888. static const unsigned int msiof3_ss1_d_mux[] = {
  2889. MSIOF3_SS1_D_MARK,
  2890. };
  2891. static const unsigned int msiof3_txd_d_pins[] = {
  2892. /* TXD */
  2893. RCAR_GP_PIN(1, 25),
  2894. };
  2895. static const unsigned int msiof3_txd_d_mux[] = {
  2896. MSIOF3_TXD_D_MARK,
  2897. };
  2898. static const unsigned int msiof3_rxd_d_pins[] = {
  2899. /* RXD */
  2900. RCAR_GP_PIN(1, 24),
  2901. };
  2902. static const unsigned int msiof3_rxd_d_mux[] = {
  2903. MSIOF3_RXD_D_MARK,
  2904. };
  2905. static const unsigned int msiof3_clk_e_pins[] = {
  2906. /* SCK */
  2907. RCAR_GP_PIN(2, 3),
  2908. };
  2909. static const unsigned int msiof3_clk_e_mux[] = {
  2910. MSIOF3_SCK_E_MARK,
  2911. };
  2912. static const unsigned int msiof3_sync_e_pins[] = {
  2913. /* SYNC */
  2914. RCAR_GP_PIN(2, 2),
  2915. };
  2916. static const unsigned int msiof3_sync_e_mux[] = {
  2917. MSIOF3_SYNC_E_MARK,
  2918. };
  2919. static const unsigned int msiof3_ss1_e_pins[] = {
  2920. /* SS1 */
  2921. RCAR_GP_PIN(2, 1),
  2922. };
  2923. static const unsigned int msiof3_ss1_e_mux[] = {
  2924. MSIOF3_SS1_E_MARK,
  2925. };
  2926. static const unsigned int msiof3_ss2_e_pins[] = {
  2927. /* SS2 */
  2928. RCAR_GP_PIN(2, 0),
  2929. };
  2930. static const unsigned int msiof3_ss2_e_mux[] = {
  2931. MSIOF3_SS2_E_MARK,
  2932. };
  2933. static const unsigned int msiof3_txd_e_pins[] = {
  2934. /* TXD */
  2935. RCAR_GP_PIN(2, 5),
  2936. };
  2937. static const unsigned int msiof3_txd_e_mux[] = {
  2938. MSIOF3_TXD_E_MARK,
  2939. };
  2940. static const unsigned int msiof3_rxd_e_pins[] = {
  2941. /* RXD */
  2942. RCAR_GP_PIN(2, 4),
  2943. };
  2944. static const unsigned int msiof3_rxd_e_mux[] = {
  2945. MSIOF3_RXD_E_MARK,
  2946. };
  2947. /* - PWM0 --------------------------------------------------------------------*/
  2948. static const unsigned int pwm0_pins[] = {
  2949. /* PWM */
  2950. RCAR_GP_PIN(2, 6),
  2951. };
  2952. static const unsigned int pwm0_mux[] = {
  2953. PWM0_MARK,
  2954. };
  2955. /* - PWM1 --------------------------------------------------------------------*/
  2956. static const unsigned int pwm1_a_pins[] = {
  2957. /* PWM */
  2958. RCAR_GP_PIN(2, 7),
  2959. };
  2960. static const unsigned int pwm1_a_mux[] = {
  2961. PWM1_A_MARK,
  2962. };
  2963. static const unsigned int pwm1_b_pins[] = {
  2964. /* PWM */
  2965. RCAR_GP_PIN(1, 8),
  2966. };
  2967. static const unsigned int pwm1_b_mux[] = {
  2968. PWM1_B_MARK,
  2969. };
  2970. /* - PWM2 --------------------------------------------------------------------*/
  2971. static const unsigned int pwm2_a_pins[] = {
  2972. /* PWM */
  2973. RCAR_GP_PIN(2, 8),
  2974. };
  2975. static const unsigned int pwm2_a_mux[] = {
  2976. PWM2_A_MARK,
  2977. };
  2978. static const unsigned int pwm2_b_pins[] = {
  2979. /* PWM */
  2980. RCAR_GP_PIN(1, 11),
  2981. };
  2982. static const unsigned int pwm2_b_mux[] = {
  2983. PWM2_B_MARK,
  2984. };
  2985. /* - PWM3 --------------------------------------------------------------------*/
  2986. static const unsigned int pwm3_a_pins[] = {
  2987. /* PWM */
  2988. RCAR_GP_PIN(1, 0),
  2989. };
  2990. static const unsigned int pwm3_a_mux[] = {
  2991. PWM3_A_MARK,
  2992. };
  2993. static const unsigned int pwm3_b_pins[] = {
  2994. /* PWM */
  2995. RCAR_GP_PIN(2, 2),
  2996. };
  2997. static const unsigned int pwm3_b_mux[] = {
  2998. PWM3_B_MARK,
  2999. };
  3000. /* - PWM4 --------------------------------------------------------------------*/
  3001. static const unsigned int pwm4_a_pins[] = {
  3002. /* PWM */
  3003. RCAR_GP_PIN(1, 1),
  3004. };
  3005. static const unsigned int pwm4_a_mux[] = {
  3006. PWM4_A_MARK,
  3007. };
  3008. static const unsigned int pwm4_b_pins[] = {
  3009. /* PWM */
  3010. RCAR_GP_PIN(2, 3),
  3011. };
  3012. static const unsigned int pwm4_b_mux[] = {
  3013. PWM4_B_MARK,
  3014. };
  3015. /* - PWM5 --------------------------------------------------------------------*/
  3016. static const unsigned int pwm5_a_pins[] = {
  3017. /* PWM */
  3018. RCAR_GP_PIN(1, 2),
  3019. };
  3020. static const unsigned int pwm5_a_mux[] = {
  3021. PWM5_A_MARK,
  3022. };
  3023. static const unsigned int pwm5_b_pins[] = {
  3024. /* PWM */
  3025. RCAR_GP_PIN(2, 4),
  3026. };
  3027. static const unsigned int pwm5_b_mux[] = {
  3028. PWM5_B_MARK,
  3029. };
  3030. /* - PWM6 --------------------------------------------------------------------*/
  3031. static const unsigned int pwm6_a_pins[] = {
  3032. /* PWM */
  3033. RCAR_GP_PIN(1, 3),
  3034. };
  3035. static const unsigned int pwm6_a_mux[] = {
  3036. PWM6_A_MARK,
  3037. };
  3038. static const unsigned int pwm6_b_pins[] = {
  3039. /* PWM */
  3040. RCAR_GP_PIN(2, 5),
  3041. };
  3042. static const unsigned int pwm6_b_mux[] = {
  3043. PWM6_B_MARK,
  3044. };
  3045. /* - SATA --------------------------------------------------------------------*/
  3046. static const unsigned int sata0_devslp_a_pins[] = {
  3047. /* DEVSLP */
  3048. RCAR_GP_PIN(6, 16),
  3049. };
  3050. static const unsigned int sata0_devslp_a_mux[] = {
  3051. SATA_DEVSLP_A_MARK,
  3052. };
  3053. static const unsigned int sata0_devslp_b_pins[] = {
  3054. /* DEVSLP */
  3055. RCAR_GP_PIN(4, 6),
  3056. };
  3057. static const unsigned int sata0_devslp_b_mux[] = {
  3058. SATA_DEVSLP_B_MARK,
  3059. };
  3060. /* - SCIF0 ------------------------------------------------------------------ */
  3061. static const unsigned int scif0_data_pins[] = {
  3062. /* RX, TX */
  3063. RCAR_GP_PIN(5, 1), RCAR_GP_PIN(5, 2),
  3064. };
  3065. static const unsigned int scif0_data_mux[] = {
  3066. RX0_MARK, TX0_MARK,
  3067. };
  3068. static const unsigned int scif0_clk_pins[] = {
  3069. /* SCK */
  3070. RCAR_GP_PIN(5, 0),
  3071. };
  3072. static const unsigned int scif0_clk_mux[] = {
  3073. SCK0_MARK,
  3074. };
  3075. static const unsigned int scif0_ctrl_pins[] = {
  3076. /* RTS, CTS */
  3077. RCAR_GP_PIN(5, 4), RCAR_GP_PIN(5, 3),
  3078. };
  3079. static const unsigned int scif0_ctrl_mux[] = {
  3080. RTS0_N_MARK, CTS0_N_MARK,
  3081. };
  3082. /* - SCIF1 ------------------------------------------------------------------ */
  3083. static const unsigned int scif1_data_a_pins[] = {
  3084. /* RX, TX */
  3085. RCAR_GP_PIN(5, 5), RCAR_GP_PIN(5, 6),
  3086. };
  3087. static const unsigned int scif1_data_a_mux[] = {
  3088. RX1_A_MARK, TX1_A_MARK,
  3089. };
  3090. static const unsigned int scif1_clk_pins[] = {
  3091. /* SCK */
  3092. RCAR_GP_PIN(6, 21),
  3093. };
  3094. static const unsigned int scif1_clk_mux[] = {
  3095. SCK1_MARK,
  3096. };
  3097. static const unsigned int scif1_ctrl_pins[] = {
  3098. /* RTS, CTS */
  3099. RCAR_GP_PIN(5, 8), RCAR_GP_PIN(5, 7),
  3100. };
  3101. static const unsigned int scif1_ctrl_mux[] = {
  3102. RTS1_N_MARK, CTS1_N_MARK,
  3103. };
  3104. static const unsigned int scif1_data_b_pins[] = {
  3105. /* RX, TX */
  3106. RCAR_GP_PIN(5, 24), RCAR_GP_PIN(5, 25),
  3107. };
  3108. static const unsigned int scif1_data_b_mux[] = {
  3109. RX1_B_MARK, TX1_B_MARK,
  3110. };
  3111. /* - SCIF2 ------------------------------------------------------------------ */
  3112. static const unsigned int scif2_data_a_pins[] = {
  3113. /* RX, TX */
  3114. RCAR_GP_PIN(5, 11), RCAR_GP_PIN(5, 10),
  3115. };
  3116. static const unsigned int scif2_data_a_mux[] = {
  3117. RX2_A_MARK, TX2_A_MARK,
  3118. };
  3119. static const unsigned int scif2_clk_pins[] = {
  3120. /* SCK */
  3121. RCAR_GP_PIN(5, 9),
  3122. };
  3123. static const unsigned int scif2_clk_mux[] = {
  3124. SCK2_MARK,
  3125. };
  3126. static const unsigned int scif2_data_b_pins[] = {
  3127. /* RX, TX */
  3128. RCAR_GP_PIN(5, 15), RCAR_GP_PIN(5, 16),
  3129. };
  3130. static const unsigned int scif2_data_b_mux[] = {
  3131. RX2_B_MARK, TX2_B_MARK,
  3132. };
  3133. /* - SCIF3 ------------------------------------------------------------------ */
  3134. static const unsigned int scif3_data_a_pins[] = {
  3135. /* RX, TX */
  3136. RCAR_GP_PIN(1, 23), RCAR_GP_PIN(1, 24),
  3137. };
  3138. static const unsigned int scif3_data_a_mux[] = {
  3139. RX3_A_MARK, TX3_A_MARK,
  3140. };
  3141. static const unsigned int scif3_clk_pins[] = {
  3142. /* SCK */
  3143. RCAR_GP_PIN(1, 22),
  3144. };
  3145. static const unsigned int scif3_clk_mux[] = {
  3146. SCK3_MARK,
  3147. };
  3148. static const unsigned int scif3_ctrl_pins[] = {
  3149. /* RTS, CTS */
  3150. RCAR_GP_PIN(1, 26), RCAR_GP_PIN(1, 25),
  3151. };
  3152. static const unsigned int scif3_ctrl_mux[] = {
  3153. RTS3_N_MARK, CTS3_N_MARK,
  3154. };
  3155. static const unsigned int scif3_data_b_pins[] = {
  3156. /* RX, TX */
  3157. RCAR_GP_PIN(1, 8), RCAR_GP_PIN(1, 11),
  3158. };
  3159. static const unsigned int scif3_data_b_mux[] = {
  3160. RX3_B_MARK, TX3_B_MARK,
  3161. };
  3162. /* - SCIF4 ------------------------------------------------------------------ */
  3163. static const unsigned int scif4_data_a_pins[] = {
  3164. /* RX, TX */
  3165. RCAR_GP_PIN(2, 11), RCAR_GP_PIN(2, 12),
  3166. };
  3167. static const unsigned int scif4_data_a_mux[] = {
  3168. RX4_A_MARK, TX4_A_MARK,
  3169. };
  3170. static const unsigned int scif4_clk_a_pins[] = {
  3171. /* SCK */
  3172. RCAR_GP_PIN(2, 10),
  3173. };
  3174. static const unsigned int scif4_clk_a_mux[] = {
  3175. SCK4_A_MARK,
  3176. };
  3177. static const unsigned int scif4_ctrl_a_pins[] = {
  3178. /* RTS, CTS */
  3179. RCAR_GP_PIN(2, 14), RCAR_GP_PIN(2, 13),
  3180. };
  3181. static const unsigned int scif4_ctrl_a_mux[] = {
  3182. RTS4_N_A_MARK, CTS4_N_A_MARK,
  3183. };
  3184. static const unsigned int scif4_data_b_pins[] = {
  3185. /* RX, TX */
  3186. RCAR_GP_PIN(1, 6), RCAR_GP_PIN(1, 7),
  3187. };
  3188. static const unsigned int scif4_data_b_mux[] = {
  3189. RX4_B_MARK, TX4_B_MARK,
  3190. };
  3191. static const unsigned int scif4_clk_b_pins[] = {
  3192. /* SCK */
  3193. RCAR_GP_PIN(1, 5),
  3194. };
  3195. static const unsigned int scif4_clk_b_mux[] = {
  3196. SCK4_B_MARK,
  3197. };
  3198. static const unsigned int scif4_ctrl_b_pins[] = {
  3199. /* RTS, CTS */
  3200. RCAR_GP_PIN(1, 10), RCAR_GP_PIN(1, 9),
  3201. };
  3202. static const unsigned int scif4_ctrl_b_mux[] = {
  3203. RTS4_N_B_MARK, CTS4_N_B_MARK,
  3204. };
  3205. static const unsigned int scif4_data_c_pins[] = {
  3206. /* RX, TX */
  3207. RCAR_GP_PIN(0, 12), RCAR_GP_PIN(0, 13),
  3208. };
  3209. static const unsigned int scif4_data_c_mux[] = {
  3210. RX4_C_MARK, TX4_C_MARK,
  3211. };
  3212. static const unsigned int scif4_clk_c_pins[] = {
  3213. /* SCK */
  3214. RCAR_GP_PIN(0, 8),
  3215. };
  3216. static const unsigned int scif4_clk_c_mux[] = {
  3217. SCK4_C_MARK,
  3218. };
  3219. static const unsigned int scif4_ctrl_c_pins[] = {
  3220. /* RTS, CTS */
  3221. RCAR_GP_PIN(0, 11), RCAR_GP_PIN(0, 10),
  3222. };
  3223. static const unsigned int scif4_ctrl_c_mux[] = {
  3224. RTS4_N_C_MARK, CTS4_N_C_MARK,
  3225. };
  3226. /* - SCIF5 ------------------------------------------------------------------ */
  3227. static const unsigned int scif5_data_a_pins[] = {
  3228. /* RX, TX */
  3229. RCAR_GP_PIN(5, 19), RCAR_GP_PIN(5, 21),
  3230. };
  3231. static const unsigned int scif5_data_a_mux[] = {
  3232. RX5_A_MARK, TX5_A_MARK,
  3233. };
  3234. static const unsigned int scif5_clk_a_pins[] = {
  3235. /* SCK */
  3236. RCAR_GP_PIN(6, 21),
  3237. };
  3238. static const unsigned int scif5_clk_a_mux[] = {
  3239. SCK5_A_MARK,
  3240. };
  3241. static const unsigned int scif5_data_b_pins[] = {
  3242. /* RX, TX */
  3243. RCAR_GP_PIN(5, 12), RCAR_GP_PIN(5, 18),
  3244. };
  3245. static const unsigned int scif5_data_b_mux[] = {
  3246. RX5_B_MARK, TX5_B_MARK,
  3247. };
  3248. static const unsigned int scif5_clk_b_pins[] = {
  3249. /* SCK */
  3250. RCAR_GP_PIN(5, 0),
  3251. };
  3252. static const unsigned int scif5_clk_b_mux[] = {
  3253. SCK5_B_MARK,
  3254. };
  3255. /* - SCIF Clock ------------------------------------------------------------- */
  3256. static const unsigned int scif_clk_a_pins[] = {
  3257. /* SCIF_CLK */
  3258. RCAR_GP_PIN(6, 23),
  3259. };
  3260. static const unsigned int scif_clk_a_mux[] = {
  3261. SCIF_CLK_A_MARK,
  3262. };
  3263. static const unsigned int scif_clk_b_pins[] = {
  3264. /* SCIF_CLK */
  3265. RCAR_GP_PIN(5, 9),
  3266. };
  3267. static const unsigned int scif_clk_b_mux[] = {
  3268. SCIF_CLK_B_MARK,
  3269. };
  3270. /* - SDHI0 ------------------------------------------------------------------ */
  3271. static const unsigned int sdhi0_data1_pins[] = {
  3272. /* D0 */
  3273. RCAR_GP_PIN(3, 2),
  3274. };
  3275. static const unsigned int sdhi0_data1_mux[] = {
  3276. SD0_DAT0_MARK,
  3277. };
  3278. static const unsigned int sdhi0_data4_pins[] = {
  3279. /* D[0:3] */
  3280. RCAR_GP_PIN(3, 2), RCAR_GP_PIN(3, 3),
  3281. RCAR_GP_PIN(3, 4), RCAR_GP_PIN(3, 5),
  3282. };
  3283. static const unsigned int sdhi0_data4_mux[] = {
  3284. SD0_DAT0_MARK, SD0_DAT1_MARK,
  3285. SD0_DAT2_MARK, SD0_DAT3_MARK,
  3286. };
  3287. static const unsigned int sdhi0_ctrl_pins[] = {
  3288. /* CLK, CMD */
  3289. RCAR_GP_PIN(3, 0), RCAR_GP_PIN(3, 1),
  3290. };
  3291. static const unsigned int sdhi0_ctrl_mux[] = {
  3292. SD0_CLK_MARK, SD0_CMD_MARK,
  3293. };
  3294. static const unsigned int sdhi0_cd_pins[] = {
  3295. /* CD */
  3296. RCAR_GP_PIN(3, 12),
  3297. };
  3298. static const unsigned int sdhi0_cd_mux[] = {
  3299. SD0_CD_MARK,
  3300. };
  3301. static const unsigned int sdhi0_wp_pins[] = {
  3302. /* WP */
  3303. RCAR_GP_PIN(3, 13),
  3304. };
  3305. static const unsigned int sdhi0_wp_mux[] = {
  3306. SD0_WP_MARK,
  3307. };
  3308. /* - SDHI1 ------------------------------------------------------------------ */
  3309. static const unsigned int sdhi1_data1_pins[] = {
  3310. /* D0 */
  3311. RCAR_GP_PIN(3, 8),
  3312. };
  3313. static const unsigned int sdhi1_data1_mux[] = {
  3314. SD1_DAT0_MARK,
  3315. };
  3316. static const unsigned int sdhi1_data4_pins[] = {
  3317. /* D[0:3] */
  3318. RCAR_GP_PIN(3, 8), RCAR_GP_PIN(3, 9),
  3319. RCAR_GP_PIN(3, 10), RCAR_GP_PIN(3, 11),
  3320. };
  3321. static const unsigned int sdhi1_data4_mux[] = {
  3322. SD1_DAT0_MARK, SD1_DAT1_MARK,
  3323. SD1_DAT2_MARK, SD1_DAT3_MARK,
  3324. };
  3325. static const unsigned int sdhi1_ctrl_pins[] = {
  3326. /* CLK, CMD */
  3327. RCAR_GP_PIN(3, 6), RCAR_GP_PIN(3, 7),
  3328. };
  3329. static const unsigned int sdhi1_ctrl_mux[] = {
  3330. SD1_CLK_MARK, SD1_CMD_MARK,
  3331. };
  3332. static const unsigned int sdhi1_cd_pins[] = {
  3333. /* CD */
  3334. RCAR_GP_PIN(3, 14),
  3335. };
  3336. static const unsigned int sdhi1_cd_mux[] = {
  3337. SD1_CD_MARK,
  3338. };
  3339. static const unsigned int sdhi1_wp_pins[] = {
  3340. /* WP */
  3341. RCAR_GP_PIN(3, 15),
  3342. };
  3343. static const unsigned int sdhi1_wp_mux[] = {
  3344. SD1_WP_MARK,
  3345. };
  3346. /* - SDHI2 ------------------------------------------------------------------ */
  3347. static const unsigned int sdhi2_data1_pins[] = {
  3348. /* D0 */
  3349. RCAR_GP_PIN(4, 2),
  3350. };
  3351. static const unsigned int sdhi2_data1_mux[] = {
  3352. SD2_DAT0_MARK,
  3353. };
  3354. static const unsigned int sdhi2_data4_pins[] = {
  3355. /* D[0:3] */
  3356. RCAR_GP_PIN(4, 2), RCAR_GP_PIN(4, 3),
  3357. RCAR_GP_PIN(4, 4), RCAR_GP_PIN(4, 5),
  3358. };
  3359. static const unsigned int sdhi2_data4_mux[] = {
  3360. SD2_DAT0_MARK, SD2_DAT1_MARK,
  3361. SD2_DAT2_MARK, SD2_DAT3_MARK,
  3362. };
  3363. static const unsigned int sdhi2_data8_pins[] = {
  3364. /* D[0:7] */
  3365. RCAR_GP_PIN(4, 2), RCAR_GP_PIN(4, 3),
  3366. RCAR_GP_PIN(4, 4), RCAR_GP_PIN(4, 5),
  3367. RCAR_GP_PIN(3, 8), RCAR_GP_PIN(3, 9),
  3368. RCAR_GP_PIN(3, 10), RCAR_GP_PIN(3, 11),
  3369. };
  3370. static const unsigned int sdhi2_data8_mux[] = {
  3371. SD2_DAT0_MARK, SD2_DAT1_MARK,
  3372. SD2_DAT2_MARK, SD2_DAT3_MARK,
  3373. SD2_DAT4_MARK, SD2_DAT5_MARK,
  3374. SD2_DAT6_MARK, SD2_DAT7_MARK,
  3375. };
  3376. static const unsigned int sdhi2_ctrl_pins[] = {
  3377. /* CLK, CMD */
  3378. RCAR_GP_PIN(4, 0), RCAR_GP_PIN(4, 1),
  3379. };
  3380. static const unsigned int sdhi2_ctrl_mux[] = {
  3381. SD2_CLK_MARK, SD2_CMD_MARK,
  3382. };
  3383. static const unsigned int sdhi2_cd_a_pins[] = {
  3384. /* CD */
  3385. RCAR_GP_PIN(4, 13),
  3386. };
  3387. static const unsigned int sdhi2_cd_a_mux[] = {
  3388. SD2_CD_A_MARK,
  3389. };
  3390. static const unsigned int sdhi2_cd_b_pins[] = {
  3391. /* CD */
  3392. RCAR_GP_PIN(5, 10),
  3393. };
  3394. static const unsigned int sdhi2_cd_b_mux[] = {
  3395. SD2_CD_B_MARK,
  3396. };
  3397. static const unsigned int sdhi2_wp_a_pins[] = {
  3398. /* WP */
  3399. RCAR_GP_PIN(4, 14),
  3400. };
  3401. static const unsigned int sdhi2_wp_a_mux[] = {
  3402. SD2_WP_A_MARK,
  3403. };
  3404. static const unsigned int sdhi2_wp_b_pins[] = {
  3405. /* WP */
  3406. RCAR_GP_PIN(5, 11),
  3407. };
  3408. static const unsigned int sdhi2_wp_b_mux[] = {
  3409. SD2_WP_B_MARK,
  3410. };
  3411. static const unsigned int sdhi2_ds_pins[] = {
  3412. /* DS */
  3413. RCAR_GP_PIN(4, 6),
  3414. };
  3415. static const unsigned int sdhi2_ds_mux[] = {
  3416. SD2_DS_MARK,
  3417. };
  3418. /* - SDHI3 ------------------------------------------------------------------ */
  3419. static const unsigned int sdhi3_data1_pins[] = {
  3420. /* D0 */
  3421. RCAR_GP_PIN(4, 9),
  3422. };
  3423. static const unsigned int sdhi3_data1_mux[] = {
  3424. SD3_DAT0_MARK,
  3425. };
  3426. static const unsigned int sdhi3_data4_pins[] = {
  3427. /* D[0:3] */
  3428. RCAR_GP_PIN(4, 9), RCAR_GP_PIN(4, 10),
  3429. RCAR_GP_PIN(4, 11), RCAR_GP_PIN(4, 12),
  3430. };
  3431. static const unsigned int sdhi3_data4_mux[] = {
  3432. SD3_DAT0_MARK, SD3_DAT1_MARK,
  3433. SD3_DAT2_MARK, SD3_DAT3_MARK,
  3434. };
  3435. static const unsigned int sdhi3_data8_pins[] = {
  3436. /* D[0:7] */
  3437. RCAR_GP_PIN(4, 9), RCAR_GP_PIN(4, 10),
  3438. RCAR_GP_PIN(4, 11), RCAR_GP_PIN(4, 12),
  3439. RCAR_GP_PIN(4, 13), RCAR_GP_PIN(4, 14),
  3440. RCAR_GP_PIN(4, 15), RCAR_GP_PIN(4, 16),
  3441. };
  3442. static const unsigned int sdhi3_data8_mux[] = {
  3443. SD3_DAT0_MARK, SD3_DAT1_MARK,
  3444. SD3_DAT2_MARK, SD3_DAT3_MARK,
  3445. SD3_DAT4_MARK, SD3_DAT5_MARK,
  3446. SD3_DAT6_MARK, SD3_DAT7_MARK,
  3447. };
  3448. static const unsigned int sdhi3_ctrl_pins[] = {
  3449. /* CLK, CMD */
  3450. RCAR_GP_PIN(4, 7), RCAR_GP_PIN(4, 8),
  3451. };
  3452. static const unsigned int sdhi3_ctrl_mux[] = {
  3453. SD3_CLK_MARK, SD3_CMD_MARK,
  3454. };
  3455. static const unsigned int sdhi3_cd_pins[] = {
  3456. /* CD */
  3457. RCAR_GP_PIN(4, 15),
  3458. };
  3459. static const unsigned int sdhi3_cd_mux[] = {
  3460. SD3_CD_MARK,
  3461. };
  3462. static const unsigned int sdhi3_wp_pins[] = {
  3463. /* WP */
  3464. RCAR_GP_PIN(4, 16),
  3465. };
  3466. static const unsigned int sdhi3_wp_mux[] = {
  3467. SD3_WP_MARK,
  3468. };
  3469. static const unsigned int sdhi3_ds_pins[] = {
  3470. /* DS */
  3471. RCAR_GP_PIN(4, 17),
  3472. };
  3473. static const unsigned int sdhi3_ds_mux[] = {
  3474. SD3_DS_MARK,
  3475. };
  3476. /* - SSI -------------------------------------------------------------------- */
  3477. static const unsigned int ssi0_data_pins[] = {
  3478. /* SDATA */
  3479. RCAR_GP_PIN(6, 2),
  3480. };
  3481. static const unsigned int ssi0_data_mux[] = {
  3482. SSI_SDATA0_MARK,
  3483. };
  3484. static const unsigned int ssi01239_ctrl_pins[] = {
  3485. /* SCK, WS */
  3486. RCAR_GP_PIN(6, 0), RCAR_GP_PIN(6, 1),
  3487. };
  3488. static const unsigned int ssi01239_ctrl_mux[] = {
  3489. SSI_SCK01239_MARK, SSI_WS01239_MARK,
  3490. };
  3491. static const unsigned int ssi1_data_a_pins[] = {
  3492. /* SDATA */
  3493. RCAR_GP_PIN(6, 3),
  3494. };
  3495. static const unsigned int ssi1_data_a_mux[] = {
  3496. SSI_SDATA1_A_MARK,
  3497. };
  3498. static const unsigned int ssi1_data_b_pins[] = {
  3499. /* SDATA */
  3500. RCAR_GP_PIN(5, 12),
  3501. };
  3502. static const unsigned int ssi1_data_b_mux[] = {
  3503. SSI_SDATA1_B_MARK,
  3504. };
  3505. static const unsigned int ssi1_ctrl_a_pins[] = {
  3506. /* SCK, WS */
  3507. RCAR_GP_PIN(6, 26), RCAR_GP_PIN(6, 27),
  3508. };
  3509. static const unsigned int ssi1_ctrl_a_mux[] = {
  3510. SSI_SCK1_A_MARK, SSI_WS1_A_MARK,
  3511. };
  3512. static const unsigned int ssi1_ctrl_b_pins[] = {
  3513. /* SCK, WS */
  3514. RCAR_GP_PIN(6, 4), RCAR_GP_PIN(6, 21),
  3515. };
  3516. static const unsigned int ssi1_ctrl_b_mux[] = {
  3517. SSI_SCK1_B_MARK, SSI_WS1_B_MARK,
  3518. };
  3519. static const unsigned int ssi2_data_a_pins[] = {
  3520. /* SDATA */
  3521. RCAR_GP_PIN(6, 4),
  3522. };
  3523. static const unsigned int ssi2_data_a_mux[] = {
  3524. SSI_SDATA2_A_MARK,
  3525. };
  3526. static const unsigned int ssi2_data_b_pins[] = {
  3527. /* SDATA */
  3528. RCAR_GP_PIN(5, 13),
  3529. };
  3530. static const unsigned int ssi2_data_b_mux[] = {
  3531. SSI_SDATA2_B_MARK,
  3532. };
  3533. static const unsigned int ssi2_ctrl_a_pins[] = {
  3534. /* SCK, WS */
  3535. RCAR_GP_PIN(5, 19), RCAR_GP_PIN(5, 21),
  3536. };
  3537. static const unsigned int ssi2_ctrl_a_mux[] = {
  3538. SSI_SCK2_A_MARK, SSI_WS2_A_MARK,
  3539. };
  3540. static const unsigned int ssi2_ctrl_b_pins[] = {
  3541. /* SCK, WS */
  3542. RCAR_GP_PIN(6, 28), RCAR_GP_PIN(6, 29),
  3543. };
  3544. static const unsigned int ssi2_ctrl_b_mux[] = {
  3545. SSI_SCK2_B_MARK, SSI_WS2_B_MARK,
  3546. };
  3547. static const unsigned int ssi3_data_pins[] = {
  3548. /* SDATA */
  3549. RCAR_GP_PIN(6, 7),
  3550. };
  3551. static const unsigned int ssi3_data_mux[] = {
  3552. SSI_SDATA3_MARK,
  3553. };
  3554. static const unsigned int ssi349_ctrl_pins[] = {
  3555. /* SCK, WS */
  3556. RCAR_GP_PIN(6, 5), RCAR_GP_PIN(6, 6),
  3557. };
  3558. static const unsigned int ssi349_ctrl_mux[] = {
  3559. SSI_SCK349_MARK, SSI_WS349_MARK,
  3560. };
  3561. static const unsigned int ssi4_data_pins[] = {
  3562. /* SDATA */
  3563. RCAR_GP_PIN(6, 10),
  3564. };
  3565. static const unsigned int ssi4_data_mux[] = {
  3566. SSI_SDATA4_MARK,
  3567. };
  3568. static const unsigned int ssi4_ctrl_pins[] = {
  3569. /* SCK, WS */
  3570. RCAR_GP_PIN(6, 8), RCAR_GP_PIN(6, 9),
  3571. };
  3572. static const unsigned int ssi4_ctrl_mux[] = {
  3573. SSI_SCK4_MARK, SSI_WS4_MARK,
  3574. };
  3575. static const unsigned int ssi5_data_pins[] = {
  3576. /* SDATA */
  3577. RCAR_GP_PIN(6, 13),
  3578. };
  3579. static const unsigned int ssi5_data_mux[] = {
  3580. SSI_SDATA5_MARK,
  3581. };
  3582. static const unsigned int ssi5_ctrl_pins[] = {
  3583. /* SCK, WS */
  3584. RCAR_GP_PIN(6, 11), RCAR_GP_PIN(6, 12),
  3585. };
  3586. static const unsigned int ssi5_ctrl_mux[] = {
  3587. SSI_SCK5_MARK, SSI_WS5_MARK,
  3588. };
  3589. static const unsigned int ssi6_data_pins[] = {
  3590. /* SDATA */
  3591. RCAR_GP_PIN(6, 16),
  3592. };
  3593. static const unsigned int ssi6_data_mux[] = {
  3594. SSI_SDATA6_MARK,
  3595. };
  3596. static const unsigned int ssi6_ctrl_pins[] = {
  3597. /* SCK, WS */
  3598. RCAR_GP_PIN(6, 14), RCAR_GP_PIN(6, 15),
  3599. };
  3600. static const unsigned int ssi6_ctrl_mux[] = {
  3601. SSI_SCK6_MARK, SSI_WS6_MARK,
  3602. };
  3603. static const unsigned int ssi7_data_pins[] = {
  3604. /* SDATA */
  3605. RCAR_GP_PIN(6, 19),
  3606. };
  3607. static const unsigned int ssi7_data_mux[] = {
  3608. SSI_SDATA7_MARK,
  3609. };
  3610. static const unsigned int ssi78_ctrl_pins[] = {
  3611. /* SCK, WS */
  3612. RCAR_GP_PIN(6, 17), RCAR_GP_PIN(6, 18),
  3613. };
  3614. static const unsigned int ssi78_ctrl_mux[] = {
  3615. SSI_SCK78_MARK, SSI_WS78_MARK,
  3616. };
  3617. static const unsigned int ssi8_data_pins[] = {
  3618. /* SDATA */
  3619. RCAR_GP_PIN(6, 20),
  3620. };
  3621. static const unsigned int ssi8_data_mux[] = {
  3622. SSI_SDATA8_MARK,
  3623. };
  3624. static const unsigned int ssi9_data_a_pins[] = {
  3625. /* SDATA */
  3626. RCAR_GP_PIN(6, 21),
  3627. };
  3628. static const unsigned int ssi9_data_a_mux[] = {
  3629. SSI_SDATA9_A_MARK,
  3630. };
  3631. static const unsigned int ssi9_data_b_pins[] = {
  3632. /* SDATA */
  3633. RCAR_GP_PIN(5, 14),
  3634. };
  3635. static const unsigned int ssi9_data_b_mux[] = {
  3636. SSI_SDATA9_B_MARK,
  3637. };
  3638. static const unsigned int ssi9_ctrl_a_pins[] = {
  3639. /* SCK, WS */
  3640. RCAR_GP_PIN(5, 15), RCAR_GP_PIN(5, 16),
  3641. };
  3642. static const unsigned int ssi9_ctrl_a_mux[] = {
  3643. SSI_SCK9_A_MARK, SSI_WS9_A_MARK,
  3644. };
  3645. static const unsigned int ssi9_ctrl_b_pins[] = {
  3646. /* SCK, WS */
  3647. RCAR_GP_PIN(6, 30), RCAR_GP_PIN(6, 31),
  3648. };
  3649. static const unsigned int ssi9_ctrl_b_mux[] = {
  3650. SSI_SCK9_B_MARK, SSI_WS9_B_MARK,
  3651. };
  3652. /* - TMU -------------------------------------------------------------------- */
  3653. static const unsigned int tmu_tclk1_a_pins[] = {
  3654. /* TCLK */
  3655. RCAR_GP_PIN(6, 23),
  3656. };
  3657. static const unsigned int tmu_tclk1_a_mux[] = {
  3658. TCLK1_A_MARK,
  3659. };
  3660. static const unsigned int tmu_tclk1_b_pins[] = {
  3661. /* TCLK */
  3662. RCAR_GP_PIN(5, 19),
  3663. };
  3664. static const unsigned int tmu_tclk1_b_mux[] = {
  3665. TCLK1_B_MARK,
  3666. };
  3667. static const unsigned int tmu_tclk2_a_pins[] = {
  3668. /* TCLK */
  3669. RCAR_GP_PIN(6, 19),
  3670. };
  3671. static const unsigned int tmu_tclk2_a_mux[] = {
  3672. TCLK2_A_MARK,
  3673. };
  3674. static const unsigned int tmu_tclk2_b_pins[] = {
  3675. /* TCLK */
  3676. RCAR_GP_PIN(6, 28),
  3677. };
  3678. static const unsigned int tmu_tclk2_b_mux[] = {
  3679. TCLK2_B_MARK,
  3680. };
  3681. /* - TPU ------------------------------------------------------------------- */
  3682. static const unsigned int tpu_to0_pins[] = {
  3683. /* TPU0TO0 */
  3684. RCAR_GP_PIN(6, 28),
  3685. };
  3686. static const unsigned int tpu_to0_mux[] = {
  3687. TPU0TO0_MARK,
  3688. };
  3689. static const unsigned int tpu_to1_pins[] = {
  3690. /* TPU0TO1 */
  3691. RCAR_GP_PIN(6, 29),
  3692. };
  3693. static const unsigned int tpu_to1_mux[] = {
  3694. TPU0TO1_MARK,
  3695. };
  3696. static const unsigned int tpu_to2_pins[] = {
  3697. /* TPU0TO2 */
  3698. RCAR_GP_PIN(6, 30),
  3699. };
  3700. static const unsigned int tpu_to2_mux[] = {
  3701. TPU0TO2_MARK,
  3702. };
  3703. static const unsigned int tpu_to3_pins[] = {
  3704. /* TPU0TO3 */
  3705. RCAR_GP_PIN(6, 31),
  3706. };
  3707. static const unsigned int tpu_to3_mux[] = {
  3708. TPU0TO3_MARK,
  3709. };
  3710. /* - USB0 ------------------------------------------------------------------- */
  3711. static const unsigned int usb0_pins[] = {
  3712. /* PWEN, OVC */
  3713. RCAR_GP_PIN(6, 24), RCAR_GP_PIN(6, 25),
  3714. };
  3715. static const unsigned int usb0_mux[] = {
  3716. USB0_PWEN_MARK, USB0_OVC_MARK,
  3717. };
  3718. /* - USB1 ------------------------------------------------------------------- */
  3719. static const unsigned int usb1_pins[] = {
  3720. /* PWEN, OVC */
  3721. RCAR_GP_PIN(6, 26), RCAR_GP_PIN(6, 27),
  3722. };
  3723. static const unsigned int usb1_mux[] = {
  3724. USB1_PWEN_MARK, USB1_OVC_MARK,
  3725. };
  3726. /* - USB2 ------------------------------------------------------------------- */
  3727. static const unsigned int usb2_pins[] = {
  3728. /* PWEN, OVC */
  3729. RCAR_GP_PIN(6, 14), RCAR_GP_PIN(6, 15),
  3730. };
  3731. static const unsigned int usb2_mux[] = {
  3732. USB2_PWEN_MARK, USB2_OVC_MARK,
  3733. };
  3734. /* - USB2_CH3 --------------------------------------------------------------- */
  3735. static const unsigned int usb2_ch3_pins[] = {
  3736. /* PWEN, OVC */
  3737. RCAR_GP_PIN(6, 30), RCAR_GP_PIN(6, 31),
  3738. };
  3739. static const unsigned int usb2_ch3_mux[] = {
  3740. USB2_CH3_PWEN_MARK, USB2_CH3_OVC_MARK,
  3741. };
  3742. /* - USB30 ------------------------------------------------------------------ */
  3743. static const unsigned int usb30_pins[] = {
  3744. /* PWEN, OVC */
  3745. RCAR_GP_PIN(6, 28), RCAR_GP_PIN(6, 29),
  3746. };
  3747. static const unsigned int usb30_mux[] = {
  3748. USB30_PWEN_MARK, USB30_OVC_MARK,
  3749. };
  3750. /* - VIN4 ------------------------------------------------------------------- */
  3751. static const unsigned int vin4_data18_a_pins[] = {
  3752. RCAR_GP_PIN(0, 10), RCAR_GP_PIN(0, 11),
  3753. RCAR_GP_PIN(0, 12), RCAR_GP_PIN(0, 13),
  3754. RCAR_GP_PIN(0, 14), RCAR_GP_PIN(0, 15),
  3755. RCAR_GP_PIN(1, 2), RCAR_GP_PIN(1, 3),
  3756. RCAR_GP_PIN(1, 4), RCAR_GP_PIN(1, 5),
  3757. RCAR_GP_PIN(1, 6), RCAR_GP_PIN(1, 7),
  3758. RCAR_GP_PIN(0, 2), RCAR_GP_PIN(0, 3),
  3759. RCAR_GP_PIN(0, 4), RCAR_GP_PIN(0, 5),
  3760. RCAR_GP_PIN(0, 6), RCAR_GP_PIN(0, 7),
  3761. };
  3762. static const unsigned int vin4_data18_a_mux[] = {
  3763. VI4_DATA2_A_MARK, VI4_DATA3_A_MARK,
  3764. VI4_DATA4_A_MARK, VI4_DATA5_A_MARK,
  3765. VI4_DATA6_A_MARK, VI4_DATA7_A_MARK,
  3766. VI4_DATA10_MARK, VI4_DATA11_MARK,
  3767. VI4_DATA12_MARK, VI4_DATA13_MARK,
  3768. VI4_DATA14_MARK, VI4_DATA15_MARK,
  3769. VI4_DATA18_MARK, VI4_DATA19_MARK,
  3770. VI4_DATA20_MARK, VI4_DATA21_MARK,
  3771. VI4_DATA22_MARK, VI4_DATA23_MARK,
  3772. };
  3773. static const unsigned int vin4_data18_b_pins[] = {
  3774. RCAR_GP_PIN(2, 2), RCAR_GP_PIN(2, 3),
  3775. RCAR_GP_PIN(2, 4), RCAR_GP_PIN(2, 5),
  3776. RCAR_GP_PIN(2, 6), RCAR_GP_PIN(2, 7),
  3777. RCAR_GP_PIN(1, 2), RCAR_GP_PIN(1, 3),
  3778. RCAR_GP_PIN(1, 4), RCAR_GP_PIN(1, 5),
  3779. RCAR_GP_PIN(1, 6), RCAR_GP_PIN(1, 7),
  3780. RCAR_GP_PIN(0, 2), RCAR_GP_PIN(0, 3),
  3781. RCAR_GP_PIN(0, 4), RCAR_GP_PIN(0, 5),
  3782. RCAR_GP_PIN(0, 6), RCAR_GP_PIN(0, 7),
  3783. };
  3784. static const unsigned int vin4_data18_b_mux[] = {
  3785. VI4_DATA2_B_MARK, VI4_DATA3_B_MARK,
  3786. VI4_DATA4_B_MARK, VI4_DATA5_B_MARK,
  3787. VI4_DATA6_B_MARK, VI4_DATA7_B_MARK,
  3788. VI4_DATA10_MARK, VI4_DATA11_MARK,
  3789. VI4_DATA12_MARK, VI4_DATA13_MARK,
  3790. VI4_DATA14_MARK, VI4_DATA15_MARK,
  3791. VI4_DATA18_MARK, VI4_DATA19_MARK,
  3792. VI4_DATA20_MARK, VI4_DATA21_MARK,
  3793. VI4_DATA22_MARK, VI4_DATA23_MARK,
  3794. };
  3795. static const union vin_data vin4_data_a_pins = {
  3796. .data24 = {
  3797. RCAR_GP_PIN(0, 8), RCAR_GP_PIN(0, 9),
  3798. RCAR_GP_PIN(0, 10), RCAR_GP_PIN(0, 11),
  3799. RCAR_GP_PIN(0, 12), RCAR_GP_PIN(0, 13),
  3800. RCAR_GP_PIN(0, 14), RCAR_GP_PIN(0, 15),
  3801. RCAR_GP_PIN(1, 0), RCAR_GP_PIN(1, 1),
  3802. RCAR_GP_PIN(1, 2), RCAR_GP_PIN(1, 3),
  3803. RCAR_GP_PIN(1, 4), RCAR_GP_PIN(1, 5),
  3804. RCAR_GP_PIN(1, 6), RCAR_GP_PIN(1, 7),
  3805. RCAR_GP_PIN(0, 0), RCAR_GP_PIN(0, 1),
  3806. RCAR_GP_PIN(0, 2), RCAR_GP_PIN(0, 3),
  3807. RCAR_GP_PIN(0, 4), RCAR_GP_PIN(0, 5),
  3808. RCAR_GP_PIN(0, 6), RCAR_GP_PIN(0, 7),
  3809. },
  3810. };
  3811. static const union vin_data vin4_data_a_mux = {
  3812. .data24 = {
  3813. VI4_DATA0_A_MARK, VI4_DATA1_A_MARK,
  3814. VI4_DATA2_A_MARK, VI4_DATA3_A_MARK,
  3815. VI4_DATA4_A_MARK, VI4_DATA5_A_MARK,
  3816. VI4_DATA6_A_MARK, VI4_DATA7_A_MARK,
  3817. VI4_DATA8_MARK, VI4_DATA9_MARK,
  3818. VI4_DATA10_MARK, VI4_DATA11_MARK,
  3819. VI4_DATA12_MARK, VI4_DATA13_MARK,
  3820. VI4_DATA14_MARK, VI4_DATA15_MARK,
  3821. VI4_DATA16_MARK, VI4_DATA17_MARK,
  3822. VI4_DATA18_MARK, VI4_DATA19_MARK,
  3823. VI4_DATA20_MARK, VI4_DATA21_MARK,
  3824. VI4_DATA22_MARK, VI4_DATA23_MARK,
  3825. },
  3826. };
  3827. static const union vin_data vin4_data_b_pins = {
  3828. .data24 = {
  3829. RCAR_GP_PIN(2, 0), RCAR_GP_PIN(2, 1),
  3830. RCAR_GP_PIN(2, 2), RCAR_GP_PIN(2, 3),
  3831. RCAR_GP_PIN(2, 4), RCAR_GP_PIN(2, 5),
  3832. RCAR_GP_PIN(2, 6), RCAR_GP_PIN(2, 7),
  3833. RCAR_GP_PIN(1, 0), RCAR_GP_PIN(1, 1),
  3834. RCAR_GP_PIN(1, 2), RCAR_GP_PIN(1, 3),
  3835. RCAR_GP_PIN(1, 4), RCAR_GP_PIN(1, 5),
  3836. RCAR_GP_PIN(1, 6), RCAR_GP_PIN(1, 7),
  3837. RCAR_GP_PIN(0, 0), RCAR_GP_PIN(0, 1),
  3838. RCAR_GP_PIN(0, 2), RCAR_GP_PIN(0, 3),
  3839. RCAR_GP_PIN(0, 4), RCAR_GP_PIN(0, 5),
  3840. RCAR_GP_PIN(0, 6), RCAR_GP_PIN(0, 7),
  3841. },
  3842. };
  3843. static const union vin_data vin4_data_b_mux = {
  3844. .data24 = {
  3845. VI4_DATA0_B_MARK, VI4_DATA1_B_MARK,
  3846. VI4_DATA2_B_MARK, VI4_DATA3_B_MARK,
  3847. VI4_DATA4_B_MARK, VI4_DATA5_B_MARK,
  3848. VI4_DATA6_B_MARK, VI4_DATA7_B_MARK,
  3849. VI4_DATA8_MARK, VI4_DATA9_MARK,
  3850. VI4_DATA10_MARK, VI4_DATA11_MARK,
  3851. VI4_DATA12_MARK, VI4_DATA13_MARK,
  3852. VI4_DATA14_MARK, VI4_DATA15_MARK,
  3853. VI4_DATA16_MARK, VI4_DATA17_MARK,
  3854. VI4_DATA18_MARK, VI4_DATA19_MARK,
  3855. VI4_DATA20_MARK, VI4_DATA21_MARK,
  3856. VI4_DATA22_MARK, VI4_DATA23_MARK,
  3857. },
  3858. };
  3859. static const unsigned int vin4_sync_pins[] = {
  3860. /* HSYNC#, VSYNC# */
  3861. RCAR_GP_PIN(1, 18), RCAR_GP_PIN(1, 17),
  3862. };
  3863. static const unsigned int vin4_sync_mux[] = {
  3864. VI4_HSYNC_N_MARK, VI4_VSYNC_N_MARK,
  3865. };
  3866. static const unsigned int vin4_field_pins[] = {
  3867. /* FIELD */
  3868. RCAR_GP_PIN(1, 16),
  3869. };
  3870. static const unsigned int vin4_field_mux[] = {
  3871. VI4_FIELD_MARK,
  3872. };
  3873. static const unsigned int vin4_clkenb_pins[] = {
  3874. /* CLKENB */
  3875. RCAR_GP_PIN(1, 19),
  3876. };
  3877. static const unsigned int vin4_clkenb_mux[] = {
  3878. VI4_CLKENB_MARK,
  3879. };
  3880. static const unsigned int vin4_clk_pins[] = {
  3881. /* CLK */
  3882. RCAR_GP_PIN(1, 27),
  3883. };
  3884. static const unsigned int vin4_clk_mux[] = {
  3885. VI4_CLK_MARK,
  3886. };
  3887. /* - VIN5 ------------------------------------------------------------------- */
  3888. static const union vin_data16 vin5_data_pins = {
  3889. .data16 = {
  3890. RCAR_GP_PIN(0, 0), RCAR_GP_PIN(0, 1),
  3891. RCAR_GP_PIN(0, 2), RCAR_GP_PIN(0, 3),
  3892. RCAR_GP_PIN(0, 4), RCAR_GP_PIN(0, 5),
  3893. RCAR_GP_PIN(0, 6), RCAR_GP_PIN(0, 7),
  3894. RCAR_GP_PIN(1, 12), RCAR_GP_PIN(1, 13),
  3895. RCAR_GP_PIN(1, 14), RCAR_GP_PIN(1, 15),
  3896. RCAR_GP_PIN(1, 4), RCAR_GP_PIN(1, 5),
  3897. RCAR_GP_PIN(1, 6), RCAR_GP_PIN(1, 7),
  3898. },
  3899. };
  3900. static const union vin_data16 vin5_data_mux = {
  3901. .data16 = {
  3902. VI5_DATA0_MARK, VI5_DATA1_MARK,
  3903. VI5_DATA2_MARK, VI5_DATA3_MARK,
  3904. VI5_DATA4_MARK, VI5_DATA5_MARK,
  3905. VI5_DATA6_MARK, VI5_DATA7_MARK,
  3906. VI5_DATA8_MARK, VI5_DATA9_MARK,
  3907. VI5_DATA10_MARK, VI5_DATA11_MARK,
  3908. VI5_DATA12_MARK, VI5_DATA13_MARK,
  3909. VI5_DATA14_MARK, VI5_DATA15_MARK,
  3910. },
  3911. };
  3912. static const unsigned int vin5_sync_pins[] = {
  3913. /* HSYNC#, VSYNC# */
  3914. RCAR_GP_PIN(1, 10), RCAR_GP_PIN(1, 9),
  3915. };
  3916. static const unsigned int vin5_sync_mux[] = {
  3917. VI5_HSYNC_N_MARK, VI5_VSYNC_N_MARK,
  3918. };
  3919. static const unsigned int vin5_field_pins[] = {
  3920. RCAR_GP_PIN(1, 11),
  3921. };
  3922. static const unsigned int vin5_field_mux[] = {
  3923. /* FIELD */
  3924. VI5_FIELD_MARK,
  3925. };
  3926. static const unsigned int vin5_clkenb_pins[] = {
  3927. RCAR_GP_PIN(1, 20),
  3928. };
  3929. static const unsigned int vin5_clkenb_mux[] = {
  3930. /* CLKENB */
  3931. VI5_CLKENB_MARK,
  3932. };
  3933. static const unsigned int vin5_clk_pins[] = {
  3934. RCAR_GP_PIN(1, 21),
  3935. };
  3936. static const unsigned int vin5_clk_mux[] = {
  3937. /* CLK */
  3938. VI5_CLK_MARK,
  3939. };
  3940. static const struct {
  3941. struct sh_pfc_pin_group common[320];
  3942. struct sh_pfc_pin_group automotive[30];
  3943. } pinmux_groups = {
  3944. .common = {
  3945. SH_PFC_PIN_GROUP(audio_clk_a_a),
  3946. SH_PFC_PIN_GROUP(audio_clk_a_b),
  3947. SH_PFC_PIN_GROUP(audio_clk_a_c),
  3948. SH_PFC_PIN_GROUP(audio_clk_b_a),
  3949. SH_PFC_PIN_GROUP(audio_clk_b_b),
  3950. SH_PFC_PIN_GROUP(audio_clk_c_a),
  3951. SH_PFC_PIN_GROUP(audio_clk_c_b),
  3952. SH_PFC_PIN_GROUP(audio_clkout_a),
  3953. SH_PFC_PIN_GROUP(audio_clkout_b),
  3954. SH_PFC_PIN_GROUP(audio_clkout_c),
  3955. SH_PFC_PIN_GROUP(audio_clkout_d),
  3956. SH_PFC_PIN_GROUP(audio_clkout1_a),
  3957. SH_PFC_PIN_GROUP(audio_clkout1_b),
  3958. SH_PFC_PIN_GROUP(audio_clkout2_a),
  3959. SH_PFC_PIN_GROUP(audio_clkout2_b),
  3960. SH_PFC_PIN_GROUP(audio_clkout3_a),
  3961. SH_PFC_PIN_GROUP(audio_clkout3_b),
  3962. SH_PFC_PIN_GROUP(avb_link),
  3963. SH_PFC_PIN_GROUP(avb_magic),
  3964. SH_PFC_PIN_GROUP(avb_phy_int),
  3965. SH_PFC_PIN_GROUP_ALIAS(avb_mdc, avb_mdio), /* Deprecated */
  3966. SH_PFC_PIN_GROUP(avb_mdio),
  3967. SH_PFC_PIN_GROUP(avb_mii),
  3968. SH_PFC_PIN_GROUP(avb_avtp_pps),
  3969. SH_PFC_PIN_GROUP(avb_avtp_match_a),
  3970. SH_PFC_PIN_GROUP(avb_avtp_capture_a),
  3971. SH_PFC_PIN_GROUP(avb_avtp_match_b),
  3972. SH_PFC_PIN_GROUP(avb_avtp_capture_b),
  3973. SH_PFC_PIN_GROUP(can0_data_a),
  3974. SH_PFC_PIN_GROUP(can0_data_b),
  3975. SH_PFC_PIN_GROUP(can1_data),
  3976. SH_PFC_PIN_GROUP(can_clk),
  3977. SH_PFC_PIN_GROUP(canfd0_data_a),
  3978. SH_PFC_PIN_GROUP(canfd0_data_b),
  3979. SH_PFC_PIN_GROUP(canfd1_data),
  3980. SH_PFC_PIN_GROUP(du_rgb666),
  3981. SH_PFC_PIN_GROUP(du_rgb888),
  3982. SH_PFC_PIN_GROUP(du_clk_out_0),
  3983. SH_PFC_PIN_GROUP(du_clk_out_1),
  3984. SH_PFC_PIN_GROUP(du_sync),
  3985. SH_PFC_PIN_GROUP(du_oddf),
  3986. SH_PFC_PIN_GROUP(du_cde),
  3987. SH_PFC_PIN_GROUP(du_disp),
  3988. SH_PFC_PIN_GROUP(hscif0_data),
  3989. SH_PFC_PIN_GROUP(hscif0_clk),
  3990. SH_PFC_PIN_GROUP(hscif0_ctrl),
  3991. SH_PFC_PIN_GROUP(hscif1_data_a),
  3992. SH_PFC_PIN_GROUP(hscif1_clk_a),
  3993. SH_PFC_PIN_GROUP(hscif1_ctrl_a),
  3994. SH_PFC_PIN_GROUP(hscif1_data_b),
  3995. SH_PFC_PIN_GROUP(hscif1_clk_b),
  3996. SH_PFC_PIN_GROUP(hscif1_ctrl_b),
  3997. SH_PFC_PIN_GROUP(hscif2_data_a),
  3998. SH_PFC_PIN_GROUP(hscif2_clk_a),
  3999. SH_PFC_PIN_GROUP(hscif2_ctrl_a),
  4000. SH_PFC_PIN_GROUP(hscif2_data_b),
  4001. SH_PFC_PIN_GROUP(hscif2_clk_b),
  4002. SH_PFC_PIN_GROUP(hscif2_ctrl_b),
  4003. SH_PFC_PIN_GROUP(hscif2_data_c),
  4004. SH_PFC_PIN_GROUP(hscif2_clk_c),
  4005. SH_PFC_PIN_GROUP(hscif2_ctrl_c),
  4006. SH_PFC_PIN_GROUP(hscif3_data_a),
  4007. SH_PFC_PIN_GROUP(hscif3_clk),
  4008. SH_PFC_PIN_GROUP(hscif3_ctrl),
  4009. SH_PFC_PIN_GROUP(hscif3_data_b),
  4010. SH_PFC_PIN_GROUP(hscif3_data_c),
  4011. SH_PFC_PIN_GROUP(hscif3_data_d),
  4012. SH_PFC_PIN_GROUP(hscif4_data_a),
  4013. SH_PFC_PIN_GROUP(hscif4_clk),
  4014. SH_PFC_PIN_GROUP(hscif4_ctrl),
  4015. SH_PFC_PIN_GROUP(hscif4_data_b),
  4016. SH_PFC_PIN_GROUP(i2c0),
  4017. SH_PFC_PIN_GROUP(i2c1_a),
  4018. SH_PFC_PIN_GROUP(i2c1_b),
  4019. SH_PFC_PIN_GROUP(i2c2_a),
  4020. SH_PFC_PIN_GROUP(i2c2_b),
  4021. SH_PFC_PIN_GROUP(i2c3),
  4022. SH_PFC_PIN_GROUP(i2c5),
  4023. SH_PFC_PIN_GROUP(i2c6_a),
  4024. SH_PFC_PIN_GROUP(i2c6_b),
  4025. SH_PFC_PIN_GROUP(i2c6_c),
  4026. SH_PFC_PIN_GROUP(intc_ex_irq0),
  4027. SH_PFC_PIN_GROUP(intc_ex_irq1),
  4028. SH_PFC_PIN_GROUP(intc_ex_irq2),
  4029. SH_PFC_PIN_GROUP(intc_ex_irq3),
  4030. SH_PFC_PIN_GROUP(intc_ex_irq4),
  4031. SH_PFC_PIN_GROUP(intc_ex_irq5),
  4032. SH_PFC_PIN_GROUP(msiof0_clk),
  4033. SH_PFC_PIN_GROUP(msiof0_sync),
  4034. SH_PFC_PIN_GROUP(msiof0_ss1),
  4035. SH_PFC_PIN_GROUP(msiof0_ss2),
  4036. SH_PFC_PIN_GROUP(msiof0_txd),
  4037. SH_PFC_PIN_GROUP(msiof0_rxd),
  4038. SH_PFC_PIN_GROUP(msiof1_clk_a),
  4039. SH_PFC_PIN_GROUP(msiof1_sync_a),
  4040. SH_PFC_PIN_GROUP(msiof1_ss1_a),
  4041. SH_PFC_PIN_GROUP(msiof1_ss2_a),
  4042. SH_PFC_PIN_GROUP(msiof1_txd_a),
  4043. SH_PFC_PIN_GROUP(msiof1_rxd_a),
  4044. SH_PFC_PIN_GROUP(msiof1_clk_b),
  4045. SH_PFC_PIN_GROUP(msiof1_sync_b),
  4046. SH_PFC_PIN_GROUP(msiof1_ss1_b),
  4047. SH_PFC_PIN_GROUP(msiof1_ss2_b),
  4048. SH_PFC_PIN_GROUP(msiof1_txd_b),
  4049. SH_PFC_PIN_GROUP(msiof1_rxd_b),
  4050. SH_PFC_PIN_GROUP(msiof1_clk_c),
  4051. SH_PFC_PIN_GROUP(msiof1_sync_c),
  4052. SH_PFC_PIN_GROUP(msiof1_ss1_c),
  4053. SH_PFC_PIN_GROUP(msiof1_ss2_c),
  4054. SH_PFC_PIN_GROUP(msiof1_txd_c),
  4055. SH_PFC_PIN_GROUP(msiof1_rxd_c),
  4056. SH_PFC_PIN_GROUP(msiof1_clk_d),
  4057. SH_PFC_PIN_GROUP(msiof1_sync_d),
  4058. SH_PFC_PIN_GROUP(msiof1_ss1_d),
  4059. SH_PFC_PIN_GROUP(msiof1_ss2_d),
  4060. SH_PFC_PIN_GROUP(msiof1_txd_d),
  4061. SH_PFC_PIN_GROUP(msiof1_rxd_d),
  4062. SH_PFC_PIN_GROUP(msiof1_clk_e),
  4063. SH_PFC_PIN_GROUP(msiof1_sync_e),
  4064. SH_PFC_PIN_GROUP(msiof1_ss1_e),
  4065. SH_PFC_PIN_GROUP(msiof1_ss2_e),
  4066. SH_PFC_PIN_GROUP(msiof1_txd_e),
  4067. SH_PFC_PIN_GROUP(msiof1_rxd_e),
  4068. SH_PFC_PIN_GROUP(msiof1_clk_f),
  4069. SH_PFC_PIN_GROUP(msiof1_sync_f),
  4070. SH_PFC_PIN_GROUP(msiof1_ss1_f),
  4071. SH_PFC_PIN_GROUP(msiof1_ss2_f),
  4072. SH_PFC_PIN_GROUP(msiof1_txd_f),
  4073. SH_PFC_PIN_GROUP(msiof1_rxd_f),
  4074. SH_PFC_PIN_GROUP(msiof1_clk_g),
  4075. SH_PFC_PIN_GROUP(msiof1_sync_g),
  4076. SH_PFC_PIN_GROUP(msiof1_ss1_g),
  4077. SH_PFC_PIN_GROUP(msiof1_ss2_g),
  4078. SH_PFC_PIN_GROUP(msiof1_txd_g),
  4079. SH_PFC_PIN_GROUP(msiof1_rxd_g),
  4080. SH_PFC_PIN_GROUP(msiof2_clk_a),
  4081. SH_PFC_PIN_GROUP(msiof2_sync_a),
  4082. SH_PFC_PIN_GROUP(msiof2_ss1_a),
  4083. SH_PFC_PIN_GROUP(msiof2_ss2_a),
  4084. SH_PFC_PIN_GROUP(msiof2_txd_a),
  4085. SH_PFC_PIN_GROUP(msiof2_rxd_a),
  4086. SH_PFC_PIN_GROUP(msiof2_clk_b),
  4087. SH_PFC_PIN_GROUP(msiof2_sync_b),
  4088. SH_PFC_PIN_GROUP(msiof2_ss1_b),
  4089. SH_PFC_PIN_GROUP(msiof2_ss2_b),
  4090. SH_PFC_PIN_GROUP(msiof2_txd_b),
  4091. SH_PFC_PIN_GROUP(msiof2_rxd_b),
  4092. SH_PFC_PIN_GROUP(msiof2_clk_c),
  4093. SH_PFC_PIN_GROUP(msiof2_sync_c),
  4094. SH_PFC_PIN_GROUP(msiof2_ss1_c),
  4095. SH_PFC_PIN_GROUP(msiof2_ss2_c),
  4096. SH_PFC_PIN_GROUP(msiof2_txd_c),
  4097. SH_PFC_PIN_GROUP(msiof2_rxd_c),
  4098. SH_PFC_PIN_GROUP(msiof2_clk_d),
  4099. SH_PFC_PIN_GROUP(msiof2_sync_d),
  4100. SH_PFC_PIN_GROUP(msiof2_ss1_d),
  4101. SH_PFC_PIN_GROUP(msiof2_ss2_d),
  4102. SH_PFC_PIN_GROUP(msiof2_txd_d),
  4103. SH_PFC_PIN_GROUP(msiof2_rxd_d),
  4104. SH_PFC_PIN_GROUP(msiof3_clk_a),
  4105. SH_PFC_PIN_GROUP(msiof3_sync_a),
  4106. SH_PFC_PIN_GROUP(msiof3_ss1_a),
  4107. SH_PFC_PIN_GROUP(msiof3_ss2_a),
  4108. SH_PFC_PIN_GROUP(msiof3_txd_a),
  4109. SH_PFC_PIN_GROUP(msiof3_rxd_a),
  4110. SH_PFC_PIN_GROUP(msiof3_clk_b),
  4111. SH_PFC_PIN_GROUP(msiof3_sync_b),
  4112. SH_PFC_PIN_GROUP(msiof3_ss1_b),
  4113. SH_PFC_PIN_GROUP(msiof3_ss2_b),
  4114. SH_PFC_PIN_GROUP(msiof3_txd_b),
  4115. SH_PFC_PIN_GROUP(msiof3_rxd_b),
  4116. SH_PFC_PIN_GROUP(msiof3_clk_c),
  4117. SH_PFC_PIN_GROUP(msiof3_sync_c),
  4118. SH_PFC_PIN_GROUP(msiof3_txd_c),
  4119. SH_PFC_PIN_GROUP(msiof3_rxd_c),
  4120. SH_PFC_PIN_GROUP(msiof3_clk_d),
  4121. SH_PFC_PIN_GROUP(msiof3_sync_d),
  4122. SH_PFC_PIN_GROUP(msiof3_ss1_d),
  4123. SH_PFC_PIN_GROUP(msiof3_txd_d),
  4124. SH_PFC_PIN_GROUP(msiof3_rxd_d),
  4125. SH_PFC_PIN_GROUP(msiof3_clk_e),
  4126. SH_PFC_PIN_GROUP(msiof3_sync_e),
  4127. SH_PFC_PIN_GROUP(msiof3_ss1_e),
  4128. SH_PFC_PIN_GROUP(msiof3_ss2_e),
  4129. SH_PFC_PIN_GROUP(msiof3_txd_e),
  4130. SH_PFC_PIN_GROUP(msiof3_rxd_e),
  4131. SH_PFC_PIN_GROUP(pwm0),
  4132. SH_PFC_PIN_GROUP(pwm1_a),
  4133. SH_PFC_PIN_GROUP(pwm1_b),
  4134. SH_PFC_PIN_GROUP(pwm2_a),
  4135. SH_PFC_PIN_GROUP(pwm2_b),
  4136. SH_PFC_PIN_GROUP(pwm3_a),
  4137. SH_PFC_PIN_GROUP(pwm3_b),
  4138. SH_PFC_PIN_GROUP(pwm4_a),
  4139. SH_PFC_PIN_GROUP(pwm4_b),
  4140. SH_PFC_PIN_GROUP(pwm5_a),
  4141. SH_PFC_PIN_GROUP(pwm5_b),
  4142. SH_PFC_PIN_GROUP(pwm6_a),
  4143. SH_PFC_PIN_GROUP(pwm6_b),
  4144. SH_PFC_PIN_GROUP(sata0_devslp_a),
  4145. SH_PFC_PIN_GROUP(sata0_devslp_b),
  4146. SH_PFC_PIN_GROUP(scif0_data),
  4147. SH_PFC_PIN_GROUP(scif0_clk),
  4148. SH_PFC_PIN_GROUP(scif0_ctrl),
  4149. SH_PFC_PIN_GROUP(scif1_data_a),
  4150. SH_PFC_PIN_GROUP(scif1_clk),
  4151. SH_PFC_PIN_GROUP(scif1_ctrl),
  4152. SH_PFC_PIN_GROUP(scif1_data_b),
  4153. SH_PFC_PIN_GROUP(scif2_data_a),
  4154. SH_PFC_PIN_GROUP(scif2_clk),
  4155. SH_PFC_PIN_GROUP(scif2_data_b),
  4156. SH_PFC_PIN_GROUP(scif3_data_a),
  4157. SH_PFC_PIN_GROUP(scif3_clk),
  4158. SH_PFC_PIN_GROUP(scif3_ctrl),
  4159. SH_PFC_PIN_GROUP(scif3_data_b),
  4160. SH_PFC_PIN_GROUP(scif4_data_a),
  4161. SH_PFC_PIN_GROUP(scif4_clk_a),
  4162. SH_PFC_PIN_GROUP(scif4_ctrl_a),
  4163. SH_PFC_PIN_GROUP(scif4_data_b),
  4164. SH_PFC_PIN_GROUP(scif4_clk_b),
  4165. SH_PFC_PIN_GROUP(scif4_ctrl_b),
  4166. SH_PFC_PIN_GROUP(scif4_data_c),
  4167. SH_PFC_PIN_GROUP(scif4_clk_c),
  4168. SH_PFC_PIN_GROUP(scif4_ctrl_c),
  4169. SH_PFC_PIN_GROUP(scif5_data_a),
  4170. SH_PFC_PIN_GROUP(scif5_clk_a),
  4171. SH_PFC_PIN_GROUP(scif5_data_b),
  4172. SH_PFC_PIN_GROUP(scif5_clk_b),
  4173. SH_PFC_PIN_GROUP(scif_clk_a),
  4174. SH_PFC_PIN_GROUP(scif_clk_b),
  4175. SH_PFC_PIN_GROUP(sdhi0_data1),
  4176. SH_PFC_PIN_GROUP(sdhi0_data4),
  4177. SH_PFC_PIN_GROUP(sdhi0_ctrl),
  4178. SH_PFC_PIN_GROUP(sdhi0_cd),
  4179. SH_PFC_PIN_GROUP(sdhi0_wp),
  4180. SH_PFC_PIN_GROUP(sdhi1_data1),
  4181. SH_PFC_PIN_GROUP(sdhi1_data4),
  4182. SH_PFC_PIN_GROUP(sdhi1_ctrl),
  4183. SH_PFC_PIN_GROUP(sdhi1_cd),
  4184. SH_PFC_PIN_GROUP(sdhi1_wp),
  4185. SH_PFC_PIN_GROUP(sdhi2_data1),
  4186. SH_PFC_PIN_GROUP(sdhi2_data4),
  4187. SH_PFC_PIN_GROUP(sdhi2_data8),
  4188. SH_PFC_PIN_GROUP(sdhi2_ctrl),
  4189. SH_PFC_PIN_GROUP(sdhi2_cd_a),
  4190. SH_PFC_PIN_GROUP(sdhi2_wp_a),
  4191. SH_PFC_PIN_GROUP(sdhi2_cd_b),
  4192. SH_PFC_PIN_GROUP(sdhi2_wp_b),
  4193. SH_PFC_PIN_GROUP(sdhi2_ds),
  4194. SH_PFC_PIN_GROUP(sdhi3_data1),
  4195. SH_PFC_PIN_GROUP(sdhi3_data4),
  4196. SH_PFC_PIN_GROUP(sdhi3_data8),
  4197. SH_PFC_PIN_GROUP(sdhi3_ctrl),
  4198. SH_PFC_PIN_GROUP(sdhi3_cd),
  4199. SH_PFC_PIN_GROUP(sdhi3_wp),
  4200. SH_PFC_PIN_GROUP(sdhi3_ds),
  4201. SH_PFC_PIN_GROUP(ssi0_data),
  4202. SH_PFC_PIN_GROUP(ssi01239_ctrl),
  4203. SH_PFC_PIN_GROUP(ssi1_data_a),
  4204. SH_PFC_PIN_GROUP(ssi1_data_b),
  4205. SH_PFC_PIN_GROUP(ssi1_ctrl_a),
  4206. SH_PFC_PIN_GROUP(ssi1_ctrl_b),
  4207. SH_PFC_PIN_GROUP(ssi2_data_a),
  4208. SH_PFC_PIN_GROUP(ssi2_data_b),
  4209. SH_PFC_PIN_GROUP(ssi2_ctrl_a),
  4210. SH_PFC_PIN_GROUP(ssi2_ctrl_b),
  4211. SH_PFC_PIN_GROUP(ssi3_data),
  4212. SH_PFC_PIN_GROUP(ssi349_ctrl),
  4213. SH_PFC_PIN_GROUP(ssi4_data),
  4214. SH_PFC_PIN_GROUP(ssi4_ctrl),
  4215. SH_PFC_PIN_GROUP(ssi5_data),
  4216. SH_PFC_PIN_GROUP(ssi5_ctrl),
  4217. SH_PFC_PIN_GROUP(ssi6_data),
  4218. SH_PFC_PIN_GROUP(ssi6_ctrl),
  4219. SH_PFC_PIN_GROUP(ssi7_data),
  4220. SH_PFC_PIN_GROUP(ssi78_ctrl),
  4221. SH_PFC_PIN_GROUP(ssi8_data),
  4222. SH_PFC_PIN_GROUP(ssi9_data_a),
  4223. SH_PFC_PIN_GROUP(ssi9_data_b),
  4224. SH_PFC_PIN_GROUP(ssi9_ctrl_a),
  4225. SH_PFC_PIN_GROUP(ssi9_ctrl_b),
  4226. SH_PFC_PIN_GROUP(tmu_tclk1_a),
  4227. SH_PFC_PIN_GROUP(tmu_tclk1_b),
  4228. SH_PFC_PIN_GROUP(tmu_tclk2_a),
  4229. SH_PFC_PIN_GROUP(tmu_tclk2_b),
  4230. SH_PFC_PIN_GROUP(tpu_to0),
  4231. SH_PFC_PIN_GROUP(tpu_to1),
  4232. SH_PFC_PIN_GROUP(tpu_to2),
  4233. SH_PFC_PIN_GROUP(tpu_to3),
  4234. SH_PFC_PIN_GROUP(usb0),
  4235. SH_PFC_PIN_GROUP(usb1),
  4236. SH_PFC_PIN_GROUP(usb2),
  4237. SH_PFC_PIN_GROUP(usb2_ch3),
  4238. SH_PFC_PIN_GROUP(usb30),
  4239. VIN_DATA_PIN_GROUP(vin4_data, 8, _a),
  4240. VIN_DATA_PIN_GROUP(vin4_data, 10, _a),
  4241. VIN_DATA_PIN_GROUP(vin4_data, 12, _a),
  4242. VIN_DATA_PIN_GROUP(vin4_data, 16, _a),
  4243. SH_PFC_PIN_GROUP(vin4_data18_a),
  4244. VIN_DATA_PIN_GROUP(vin4_data, 20, _a),
  4245. VIN_DATA_PIN_GROUP(vin4_data, 24, _a),
  4246. VIN_DATA_PIN_GROUP(vin4_data, 8, _b),
  4247. VIN_DATA_PIN_GROUP(vin4_data, 10, _b),
  4248. VIN_DATA_PIN_GROUP(vin4_data, 12, _b),
  4249. VIN_DATA_PIN_GROUP(vin4_data, 16, _b),
  4250. SH_PFC_PIN_GROUP(vin4_data18_b),
  4251. VIN_DATA_PIN_GROUP(vin4_data, 20, _b),
  4252. VIN_DATA_PIN_GROUP(vin4_data, 24, _b),
  4253. SH_PFC_PIN_GROUP(vin4_sync),
  4254. SH_PFC_PIN_GROUP(vin4_field),
  4255. SH_PFC_PIN_GROUP(vin4_clkenb),
  4256. SH_PFC_PIN_GROUP(vin4_clk),
  4257. VIN_DATA_PIN_GROUP(vin5_data, 8),
  4258. VIN_DATA_PIN_GROUP(vin5_data, 10),
  4259. VIN_DATA_PIN_GROUP(vin5_data, 12),
  4260. VIN_DATA_PIN_GROUP(vin5_data, 16),
  4261. SH_PFC_PIN_GROUP(vin5_sync),
  4262. SH_PFC_PIN_GROUP(vin5_field),
  4263. SH_PFC_PIN_GROUP(vin5_clkenb),
  4264. SH_PFC_PIN_GROUP(vin5_clk),
  4265. },
  4266. .automotive = {
  4267. SH_PFC_PIN_GROUP(drif0_ctrl_a),
  4268. SH_PFC_PIN_GROUP(drif0_data0_a),
  4269. SH_PFC_PIN_GROUP(drif0_data1_a),
  4270. SH_PFC_PIN_GROUP(drif0_ctrl_b),
  4271. SH_PFC_PIN_GROUP(drif0_data0_b),
  4272. SH_PFC_PIN_GROUP(drif0_data1_b),
  4273. SH_PFC_PIN_GROUP(drif0_ctrl_c),
  4274. SH_PFC_PIN_GROUP(drif0_data0_c),
  4275. SH_PFC_PIN_GROUP(drif0_data1_c),
  4276. SH_PFC_PIN_GROUP(drif1_ctrl_a),
  4277. SH_PFC_PIN_GROUP(drif1_data0_a),
  4278. SH_PFC_PIN_GROUP(drif1_data1_a),
  4279. SH_PFC_PIN_GROUP(drif1_ctrl_b),
  4280. SH_PFC_PIN_GROUP(drif1_data0_b),
  4281. SH_PFC_PIN_GROUP(drif1_data1_b),
  4282. SH_PFC_PIN_GROUP(drif1_ctrl_c),
  4283. SH_PFC_PIN_GROUP(drif1_data0_c),
  4284. SH_PFC_PIN_GROUP(drif1_data1_c),
  4285. SH_PFC_PIN_GROUP(drif2_ctrl_a),
  4286. SH_PFC_PIN_GROUP(drif2_data0_a),
  4287. SH_PFC_PIN_GROUP(drif2_data1_a),
  4288. SH_PFC_PIN_GROUP(drif2_ctrl_b),
  4289. SH_PFC_PIN_GROUP(drif2_data0_b),
  4290. SH_PFC_PIN_GROUP(drif2_data1_b),
  4291. SH_PFC_PIN_GROUP(drif3_ctrl_a),
  4292. SH_PFC_PIN_GROUP(drif3_data0_a),
  4293. SH_PFC_PIN_GROUP(drif3_data1_a),
  4294. SH_PFC_PIN_GROUP(drif3_ctrl_b),
  4295. SH_PFC_PIN_GROUP(drif3_data0_b),
  4296. SH_PFC_PIN_GROUP(drif3_data1_b),
  4297. }
  4298. };
  4299. static const char * const audio_clk_groups[] = {
  4300. "audio_clk_a_a",
  4301. "audio_clk_a_b",
  4302. "audio_clk_a_c",
  4303. "audio_clk_b_a",
  4304. "audio_clk_b_b",
  4305. "audio_clk_c_a",
  4306. "audio_clk_c_b",
  4307. "audio_clkout_a",
  4308. "audio_clkout_b",
  4309. "audio_clkout_c",
  4310. "audio_clkout_d",
  4311. "audio_clkout1_a",
  4312. "audio_clkout1_b",
  4313. "audio_clkout2_a",
  4314. "audio_clkout2_b",
  4315. "audio_clkout3_a",
  4316. "audio_clkout3_b",
  4317. };
  4318. static const char * const avb_groups[] = {
  4319. "avb_link",
  4320. "avb_magic",
  4321. "avb_phy_int",
  4322. "avb_mdc", /* Deprecated, please use "avb_mdio" instead */
  4323. "avb_mdio",
  4324. "avb_mii",
  4325. "avb_avtp_pps",
  4326. "avb_avtp_match_a",
  4327. "avb_avtp_capture_a",
  4328. "avb_avtp_match_b",
  4329. "avb_avtp_capture_b",
  4330. };
  4331. static const char * const can0_groups[] = {
  4332. "can0_data_a",
  4333. "can0_data_b",
  4334. };
  4335. static const char * const can1_groups[] = {
  4336. "can1_data",
  4337. };
  4338. static const char * const can_clk_groups[] = {
  4339. "can_clk",
  4340. };
  4341. static const char * const canfd0_groups[] = {
  4342. "canfd0_data_a",
  4343. "canfd0_data_b",
  4344. };
  4345. static const char * const canfd1_groups[] = {
  4346. "canfd1_data",
  4347. };
  4348. static const char * const drif0_groups[] = {
  4349. "drif0_ctrl_a",
  4350. "drif0_data0_a",
  4351. "drif0_data1_a",
  4352. "drif0_ctrl_b",
  4353. "drif0_data0_b",
  4354. "drif0_data1_b",
  4355. "drif0_ctrl_c",
  4356. "drif0_data0_c",
  4357. "drif0_data1_c",
  4358. };
  4359. static const char * const drif1_groups[] = {
  4360. "drif1_ctrl_a",
  4361. "drif1_data0_a",
  4362. "drif1_data1_a",
  4363. "drif1_ctrl_b",
  4364. "drif1_data0_b",
  4365. "drif1_data1_b",
  4366. "drif1_ctrl_c",
  4367. "drif1_data0_c",
  4368. "drif1_data1_c",
  4369. };
  4370. static const char * const drif2_groups[] = {
  4371. "drif2_ctrl_a",
  4372. "drif2_data0_a",
  4373. "drif2_data1_a",
  4374. "drif2_ctrl_b",
  4375. "drif2_data0_b",
  4376. "drif2_data1_b",
  4377. };
  4378. static const char * const drif3_groups[] = {
  4379. "drif3_ctrl_a",
  4380. "drif3_data0_a",
  4381. "drif3_data1_a",
  4382. "drif3_ctrl_b",
  4383. "drif3_data0_b",
  4384. "drif3_data1_b",
  4385. };
  4386. static const char * const du_groups[] = {
  4387. "du_rgb666",
  4388. "du_rgb888",
  4389. "du_clk_out_0",
  4390. "du_clk_out_1",
  4391. "du_sync",
  4392. "du_oddf",
  4393. "du_cde",
  4394. "du_disp",
  4395. };
  4396. static const char * const hscif0_groups[] = {
  4397. "hscif0_data",
  4398. "hscif0_clk",
  4399. "hscif0_ctrl",
  4400. };
  4401. static const char * const hscif1_groups[] = {
  4402. "hscif1_data_a",
  4403. "hscif1_clk_a",
  4404. "hscif1_ctrl_a",
  4405. "hscif1_data_b",
  4406. "hscif1_clk_b",
  4407. "hscif1_ctrl_b",
  4408. };
  4409. static const char * const hscif2_groups[] = {
  4410. "hscif2_data_a",
  4411. "hscif2_clk_a",
  4412. "hscif2_ctrl_a",
  4413. "hscif2_data_b",
  4414. "hscif2_clk_b",
  4415. "hscif2_ctrl_b",
  4416. "hscif2_data_c",
  4417. "hscif2_clk_c",
  4418. "hscif2_ctrl_c",
  4419. };
  4420. static const char * const hscif3_groups[] = {
  4421. "hscif3_data_a",
  4422. "hscif3_clk",
  4423. "hscif3_ctrl",
  4424. "hscif3_data_b",
  4425. "hscif3_data_c",
  4426. "hscif3_data_d",
  4427. };
  4428. static const char * const hscif4_groups[] = {
  4429. "hscif4_data_a",
  4430. "hscif4_clk",
  4431. "hscif4_ctrl",
  4432. "hscif4_data_b",
  4433. };
  4434. static const char * const i2c0_groups[] = {
  4435. "i2c0",
  4436. };
  4437. static const char * const i2c1_groups[] = {
  4438. "i2c1_a",
  4439. "i2c1_b",
  4440. };
  4441. static const char * const i2c2_groups[] = {
  4442. "i2c2_a",
  4443. "i2c2_b",
  4444. };
  4445. static const char * const i2c3_groups[] = {
  4446. "i2c3",
  4447. };
  4448. static const char * const i2c5_groups[] = {
  4449. "i2c5",
  4450. };
  4451. static const char * const i2c6_groups[] = {
  4452. "i2c6_a",
  4453. "i2c6_b",
  4454. "i2c6_c",
  4455. };
  4456. static const char * const intc_ex_groups[] = {
  4457. "intc_ex_irq0",
  4458. "intc_ex_irq1",
  4459. "intc_ex_irq2",
  4460. "intc_ex_irq3",
  4461. "intc_ex_irq4",
  4462. "intc_ex_irq5",
  4463. };
  4464. static const char * const msiof0_groups[] = {
  4465. "msiof0_clk",
  4466. "msiof0_sync",
  4467. "msiof0_ss1",
  4468. "msiof0_ss2",
  4469. "msiof0_txd",
  4470. "msiof0_rxd",
  4471. };
  4472. static const char * const msiof1_groups[] = {
  4473. "msiof1_clk_a",
  4474. "msiof1_sync_a",
  4475. "msiof1_ss1_a",
  4476. "msiof1_ss2_a",
  4477. "msiof1_txd_a",
  4478. "msiof1_rxd_a",
  4479. "msiof1_clk_b",
  4480. "msiof1_sync_b",
  4481. "msiof1_ss1_b",
  4482. "msiof1_ss2_b",
  4483. "msiof1_txd_b",
  4484. "msiof1_rxd_b",
  4485. "msiof1_clk_c",
  4486. "msiof1_sync_c",
  4487. "msiof1_ss1_c",
  4488. "msiof1_ss2_c",
  4489. "msiof1_txd_c",
  4490. "msiof1_rxd_c",
  4491. "msiof1_clk_d",
  4492. "msiof1_sync_d",
  4493. "msiof1_ss1_d",
  4494. "msiof1_ss2_d",
  4495. "msiof1_txd_d",
  4496. "msiof1_rxd_d",
  4497. "msiof1_clk_e",
  4498. "msiof1_sync_e",
  4499. "msiof1_ss1_e",
  4500. "msiof1_ss2_e",
  4501. "msiof1_txd_e",
  4502. "msiof1_rxd_e",
  4503. "msiof1_clk_f",
  4504. "msiof1_sync_f",
  4505. "msiof1_ss1_f",
  4506. "msiof1_ss2_f",
  4507. "msiof1_txd_f",
  4508. "msiof1_rxd_f",
  4509. "msiof1_clk_g",
  4510. "msiof1_sync_g",
  4511. "msiof1_ss1_g",
  4512. "msiof1_ss2_g",
  4513. "msiof1_txd_g",
  4514. "msiof1_rxd_g",
  4515. };
  4516. static const char * const msiof2_groups[] = {
  4517. "msiof2_clk_a",
  4518. "msiof2_sync_a",
  4519. "msiof2_ss1_a",
  4520. "msiof2_ss2_a",
  4521. "msiof2_txd_a",
  4522. "msiof2_rxd_a",
  4523. "msiof2_clk_b",
  4524. "msiof2_sync_b",
  4525. "msiof2_ss1_b",
  4526. "msiof2_ss2_b",
  4527. "msiof2_txd_b",
  4528. "msiof2_rxd_b",
  4529. "msiof2_clk_c",
  4530. "msiof2_sync_c",
  4531. "msiof2_ss1_c",
  4532. "msiof2_ss2_c",
  4533. "msiof2_txd_c",
  4534. "msiof2_rxd_c",
  4535. "msiof2_clk_d",
  4536. "msiof2_sync_d",
  4537. "msiof2_ss1_d",
  4538. "msiof2_ss2_d",
  4539. "msiof2_txd_d",
  4540. "msiof2_rxd_d",
  4541. };
  4542. static const char * const msiof3_groups[] = {
  4543. "msiof3_clk_a",
  4544. "msiof3_sync_a",
  4545. "msiof3_ss1_a",
  4546. "msiof3_ss2_a",
  4547. "msiof3_txd_a",
  4548. "msiof3_rxd_a",
  4549. "msiof3_clk_b",
  4550. "msiof3_sync_b",
  4551. "msiof3_ss1_b",
  4552. "msiof3_ss2_b",
  4553. "msiof3_txd_b",
  4554. "msiof3_rxd_b",
  4555. "msiof3_clk_c",
  4556. "msiof3_sync_c",
  4557. "msiof3_txd_c",
  4558. "msiof3_rxd_c",
  4559. "msiof3_clk_d",
  4560. "msiof3_sync_d",
  4561. "msiof3_ss1_d",
  4562. "msiof3_txd_d",
  4563. "msiof3_rxd_d",
  4564. "msiof3_clk_e",
  4565. "msiof3_sync_e",
  4566. "msiof3_ss1_e",
  4567. "msiof3_ss2_e",
  4568. "msiof3_txd_e",
  4569. "msiof3_rxd_e",
  4570. };
  4571. static const char * const pwm0_groups[] = {
  4572. "pwm0",
  4573. };
  4574. static const char * const pwm1_groups[] = {
  4575. "pwm1_a",
  4576. "pwm1_b",
  4577. };
  4578. static const char * const pwm2_groups[] = {
  4579. "pwm2_a",
  4580. "pwm2_b",
  4581. };
  4582. static const char * const pwm3_groups[] = {
  4583. "pwm3_a",
  4584. "pwm3_b",
  4585. };
  4586. static const char * const pwm4_groups[] = {
  4587. "pwm4_a",
  4588. "pwm4_b",
  4589. };
  4590. static const char * const pwm5_groups[] = {
  4591. "pwm5_a",
  4592. "pwm5_b",
  4593. };
  4594. static const char * const pwm6_groups[] = {
  4595. "pwm6_a",
  4596. "pwm6_b",
  4597. };
  4598. static const char * const sata0_groups[] = {
  4599. "sata0_devslp_a",
  4600. "sata0_devslp_b",
  4601. };
  4602. static const char * const scif0_groups[] = {
  4603. "scif0_data",
  4604. "scif0_clk",
  4605. "scif0_ctrl",
  4606. };
  4607. static const char * const scif1_groups[] = {
  4608. "scif1_data_a",
  4609. "scif1_clk",
  4610. "scif1_ctrl",
  4611. "scif1_data_b",
  4612. };
  4613. static const char * const scif2_groups[] = {
  4614. "scif2_data_a",
  4615. "scif2_clk",
  4616. "scif2_data_b",
  4617. };
  4618. static const char * const scif3_groups[] = {
  4619. "scif3_data_a",
  4620. "scif3_clk",
  4621. "scif3_ctrl",
  4622. "scif3_data_b",
  4623. };
  4624. static const char * const scif4_groups[] = {
  4625. "scif4_data_a",
  4626. "scif4_clk_a",
  4627. "scif4_ctrl_a",
  4628. "scif4_data_b",
  4629. "scif4_clk_b",
  4630. "scif4_ctrl_b",
  4631. "scif4_data_c",
  4632. "scif4_clk_c",
  4633. "scif4_ctrl_c",
  4634. };
  4635. static const char * const scif5_groups[] = {
  4636. "scif5_data_a",
  4637. "scif5_clk_a",
  4638. "scif5_data_b",
  4639. "scif5_clk_b",
  4640. };
  4641. static const char * const scif_clk_groups[] = {
  4642. "scif_clk_a",
  4643. "scif_clk_b",
  4644. };
  4645. static const char * const sdhi0_groups[] = {
  4646. "sdhi0_data1",
  4647. "sdhi0_data4",
  4648. "sdhi0_ctrl",
  4649. "sdhi0_cd",
  4650. "sdhi0_wp",
  4651. };
  4652. static const char * const sdhi1_groups[] = {
  4653. "sdhi1_data1",
  4654. "sdhi1_data4",
  4655. "sdhi1_ctrl",
  4656. "sdhi1_cd",
  4657. "sdhi1_wp",
  4658. };
  4659. static const char * const sdhi2_groups[] = {
  4660. "sdhi2_data1",
  4661. "sdhi2_data4",
  4662. "sdhi2_data8",
  4663. "sdhi2_ctrl",
  4664. "sdhi2_cd_a",
  4665. "sdhi2_wp_a",
  4666. "sdhi2_cd_b",
  4667. "sdhi2_wp_b",
  4668. "sdhi2_ds",
  4669. };
  4670. static const char * const sdhi3_groups[] = {
  4671. "sdhi3_data1",
  4672. "sdhi3_data4",
  4673. "sdhi3_data8",
  4674. "sdhi3_ctrl",
  4675. "sdhi3_cd",
  4676. "sdhi3_wp",
  4677. "sdhi3_ds",
  4678. };
  4679. static const char * const ssi_groups[] = {
  4680. "ssi0_data",
  4681. "ssi01239_ctrl",
  4682. "ssi1_data_a",
  4683. "ssi1_data_b",
  4684. "ssi1_ctrl_a",
  4685. "ssi1_ctrl_b",
  4686. "ssi2_data_a",
  4687. "ssi2_data_b",
  4688. "ssi2_ctrl_a",
  4689. "ssi2_ctrl_b",
  4690. "ssi3_data",
  4691. "ssi349_ctrl",
  4692. "ssi4_data",
  4693. "ssi4_ctrl",
  4694. "ssi5_data",
  4695. "ssi5_ctrl",
  4696. "ssi6_data",
  4697. "ssi6_ctrl",
  4698. "ssi7_data",
  4699. "ssi78_ctrl",
  4700. "ssi8_data",
  4701. "ssi9_data_a",
  4702. "ssi9_data_b",
  4703. "ssi9_ctrl_a",
  4704. "ssi9_ctrl_b",
  4705. };
  4706. static const char * const tmu_groups[] = {
  4707. "tmu_tclk1_a",
  4708. "tmu_tclk1_b",
  4709. "tmu_tclk2_a",
  4710. "tmu_tclk2_b",
  4711. };
  4712. static const char * const tpu_groups[] = {
  4713. "tpu_to0",
  4714. "tpu_to1",
  4715. "tpu_to2",
  4716. "tpu_to3",
  4717. };
  4718. static const char * const usb0_groups[] = {
  4719. "usb0",
  4720. };
  4721. static const char * const usb1_groups[] = {
  4722. "usb1",
  4723. };
  4724. static const char * const usb2_groups[] = {
  4725. "usb2",
  4726. };
  4727. static const char * const usb2_ch3_groups[] = {
  4728. "usb2_ch3",
  4729. };
  4730. static const char * const usb30_groups[] = {
  4731. "usb30",
  4732. };
  4733. static const char * const vin4_groups[] = {
  4734. "vin4_data8_a",
  4735. "vin4_data10_a",
  4736. "vin4_data12_a",
  4737. "vin4_data16_a",
  4738. "vin4_data18_a",
  4739. "vin4_data20_a",
  4740. "vin4_data24_a",
  4741. "vin4_data8_b",
  4742. "vin4_data10_b",
  4743. "vin4_data12_b",
  4744. "vin4_data16_b",
  4745. "vin4_data18_b",
  4746. "vin4_data20_b",
  4747. "vin4_data24_b",
  4748. "vin4_sync",
  4749. "vin4_field",
  4750. "vin4_clkenb",
  4751. "vin4_clk",
  4752. };
  4753. static const char * const vin5_groups[] = {
  4754. "vin5_data8",
  4755. "vin5_data10",
  4756. "vin5_data12",
  4757. "vin5_data16",
  4758. "vin5_sync",
  4759. "vin5_field",
  4760. "vin5_clkenb",
  4761. "vin5_clk",
  4762. };
  4763. static const struct {
  4764. struct sh_pfc_function common[53];
  4765. struct sh_pfc_function automotive[4];
  4766. } pinmux_functions = {
  4767. .common = {
  4768. SH_PFC_FUNCTION(audio_clk),
  4769. SH_PFC_FUNCTION(avb),
  4770. SH_PFC_FUNCTION(can0),
  4771. SH_PFC_FUNCTION(can1),
  4772. SH_PFC_FUNCTION(can_clk),
  4773. SH_PFC_FUNCTION(canfd0),
  4774. SH_PFC_FUNCTION(canfd1),
  4775. SH_PFC_FUNCTION(du),
  4776. SH_PFC_FUNCTION(hscif0),
  4777. SH_PFC_FUNCTION(hscif1),
  4778. SH_PFC_FUNCTION(hscif2),
  4779. SH_PFC_FUNCTION(hscif3),
  4780. SH_PFC_FUNCTION(hscif4),
  4781. SH_PFC_FUNCTION(i2c0),
  4782. SH_PFC_FUNCTION(i2c1),
  4783. SH_PFC_FUNCTION(i2c2),
  4784. SH_PFC_FUNCTION(i2c3),
  4785. SH_PFC_FUNCTION(i2c5),
  4786. SH_PFC_FUNCTION(i2c6),
  4787. SH_PFC_FUNCTION(intc_ex),
  4788. SH_PFC_FUNCTION(msiof0),
  4789. SH_PFC_FUNCTION(msiof1),
  4790. SH_PFC_FUNCTION(msiof2),
  4791. SH_PFC_FUNCTION(msiof3),
  4792. SH_PFC_FUNCTION(pwm0),
  4793. SH_PFC_FUNCTION(pwm1),
  4794. SH_PFC_FUNCTION(pwm2),
  4795. SH_PFC_FUNCTION(pwm3),
  4796. SH_PFC_FUNCTION(pwm4),
  4797. SH_PFC_FUNCTION(pwm5),
  4798. SH_PFC_FUNCTION(pwm6),
  4799. SH_PFC_FUNCTION(sata0),
  4800. SH_PFC_FUNCTION(scif0),
  4801. SH_PFC_FUNCTION(scif1),
  4802. SH_PFC_FUNCTION(scif2),
  4803. SH_PFC_FUNCTION(scif3),
  4804. SH_PFC_FUNCTION(scif4),
  4805. SH_PFC_FUNCTION(scif5),
  4806. SH_PFC_FUNCTION(scif_clk),
  4807. SH_PFC_FUNCTION(sdhi0),
  4808. SH_PFC_FUNCTION(sdhi1),
  4809. SH_PFC_FUNCTION(sdhi2),
  4810. SH_PFC_FUNCTION(sdhi3),
  4811. SH_PFC_FUNCTION(ssi),
  4812. SH_PFC_FUNCTION(tmu),
  4813. SH_PFC_FUNCTION(tpu),
  4814. SH_PFC_FUNCTION(usb0),
  4815. SH_PFC_FUNCTION(usb1),
  4816. SH_PFC_FUNCTION(usb2),
  4817. SH_PFC_FUNCTION(usb2_ch3),
  4818. SH_PFC_FUNCTION(usb30),
  4819. SH_PFC_FUNCTION(vin4),
  4820. SH_PFC_FUNCTION(vin5),
  4821. },
  4822. .automotive = {
  4823. SH_PFC_FUNCTION(drif0),
  4824. SH_PFC_FUNCTION(drif1),
  4825. SH_PFC_FUNCTION(drif2),
  4826. SH_PFC_FUNCTION(drif3),
  4827. }
  4828. };
  4829. static const struct pinmux_cfg_reg pinmux_config_regs[] = {
  4830. #define F_(x, y) FN_##y
  4831. #define FM(x) FN_##x
  4832. { PINMUX_CFG_REG("GPSR0", 0xe6060100, 32, 1, GROUP(
  4833. 0, 0,
  4834. 0, 0,
  4835. 0, 0,
  4836. 0, 0,
  4837. 0, 0,
  4838. 0, 0,
  4839. 0, 0,
  4840. 0, 0,
  4841. 0, 0,
  4842. 0, 0,
  4843. 0, 0,
  4844. 0, 0,
  4845. 0, 0,
  4846. 0, 0,
  4847. 0, 0,
  4848. 0, 0,
  4849. GP_0_15_FN, GPSR0_15,
  4850. GP_0_14_FN, GPSR0_14,
  4851. GP_0_13_FN, GPSR0_13,
  4852. GP_0_12_FN, GPSR0_12,
  4853. GP_0_11_FN, GPSR0_11,
  4854. GP_0_10_FN, GPSR0_10,
  4855. GP_0_9_FN, GPSR0_9,
  4856. GP_0_8_FN, GPSR0_8,
  4857. GP_0_7_FN, GPSR0_7,
  4858. GP_0_6_FN, GPSR0_6,
  4859. GP_0_5_FN, GPSR0_5,
  4860. GP_0_4_FN, GPSR0_4,
  4861. GP_0_3_FN, GPSR0_3,
  4862. GP_0_2_FN, GPSR0_2,
  4863. GP_0_1_FN, GPSR0_1,
  4864. GP_0_0_FN, GPSR0_0, ))
  4865. },
  4866. { PINMUX_CFG_REG("GPSR1", 0xe6060104, 32, 1, GROUP(
  4867. 0, 0,
  4868. 0, 0,
  4869. 0, 0,
  4870. GP_1_28_FN, GPSR1_28,
  4871. GP_1_27_FN, GPSR1_27,
  4872. GP_1_26_FN, GPSR1_26,
  4873. GP_1_25_FN, GPSR1_25,
  4874. GP_1_24_FN, GPSR1_24,
  4875. GP_1_23_FN, GPSR1_23,
  4876. GP_1_22_FN, GPSR1_22,
  4877. GP_1_21_FN, GPSR1_21,
  4878. GP_1_20_FN, GPSR1_20,
  4879. GP_1_19_FN, GPSR1_19,
  4880. GP_1_18_FN, GPSR1_18,
  4881. GP_1_17_FN, GPSR1_17,
  4882. GP_1_16_FN, GPSR1_16,
  4883. GP_1_15_FN, GPSR1_15,
  4884. GP_1_14_FN, GPSR1_14,
  4885. GP_1_13_FN, GPSR1_13,
  4886. GP_1_12_FN, GPSR1_12,
  4887. GP_1_11_FN, GPSR1_11,
  4888. GP_1_10_FN, GPSR1_10,
  4889. GP_1_9_FN, GPSR1_9,
  4890. GP_1_8_FN, GPSR1_8,
  4891. GP_1_7_FN, GPSR1_7,
  4892. GP_1_6_FN, GPSR1_6,
  4893. GP_1_5_FN, GPSR1_5,
  4894. GP_1_4_FN, GPSR1_4,
  4895. GP_1_3_FN, GPSR1_3,
  4896. GP_1_2_FN, GPSR1_2,
  4897. GP_1_1_FN, GPSR1_1,
  4898. GP_1_0_FN, GPSR1_0, ))
  4899. },
  4900. { PINMUX_CFG_REG("GPSR2", 0xe6060108, 32, 1, GROUP(
  4901. 0, 0,
  4902. 0, 0,
  4903. 0, 0,
  4904. 0, 0,
  4905. 0, 0,
  4906. 0, 0,
  4907. 0, 0,
  4908. 0, 0,
  4909. 0, 0,
  4910. 0, 0,
  4911. 0, 0,
  4912. 0, 0,
  4913. 0, 0,
  4914. 0, 0,
  4915. 0, 0,
  4916. 0, 0,
  4917. 0, 0,
  4918. GP_2_14_FN, GPSR2_14,
  4919. GP_2_13_FN, GPSR2_13,
  4920. GP_2_12_FN, GPSR2_12,
  4921. GP_2_11_FN, GPSR2_11,
  4922. GP_2_10_FN, GPSR2_10,
  4923. GP_2_9_FN, GPSR2_9,
  4924. GP_2_8_FN, GPSR2_8,
  4925. GP_2_7_FN, GPSR2_7,
  4926. GP_2_6_FN, GPSR2_6,
  4927. GP_2_5_FN, GPSR2_5,
  4928. GP_2_4_FN, GPSR2_4,
  4929. GP_2_3_FN, GPSR2_3,
  4930. GP_2_2_FN, GPSR2_2,
  4931. GP_2_1_FN, GPSR2_1,
  4932. GP_2_0_FN, GPSR2_0, ))
  4933. },
  4934. { PINMUX_CFG_REG("GPSR3", 0xe606010c, 32, 1, GROUP(
  4935. 0, 0,
  4936. 0, 0,
  4937. 0, 0,
  4938. 0, 0,
  4939. 0, 0,
  4940. 0, 0,
  4941. 0, 0,
  4942. 0, 0,
  4943. 0, 0,
  4944. 0, 0,
  4945. 0, 0,
  4946. 0, 0,
  4947. 0, 0,
  4948. 0, 0,
  4949. 0, 0,
  4950. 0, 0,
  4951. GP_3_15_FN, GPSR3_15,
  4952. GP_3_14_FN, GPSR3_14,
  4953. GP_3_13_FN, GPSR3_13,
  4954. GP_3_12_FN, GPSR3_12,
  4955. GP_3_11_FN, GPSR3_11,
  4956. GP_3_10_FN, GPSR3_10,
  4957. GP_3_9_FN, GPSR3_9,
  4958. GP_3_8_FN, GPSR3_8,
  4959. GP_3_7_FN, GPSR3_7,
  4960. GP_3_6_FN, GPSR3_6,
  4961. GP_3_5_FN, GPSR3_5,
  4962. GP_3_4_FN, GPSR3_4,
  4963. GP_3_3_FN, GPSR3_3,
  4964. GP_3_2_FN, GPSR3_2,
  4965. GP_3_1_FN, GPSR3_1,
  4966. GP_3_0_FN, GPSR3_0, ))
  4967. },
  4968. { PINMUX_CFG_REG("GPSR4", 0xe6060110, 32, 1, GROUP(
  4969. 0, 0,
  4970. 0, 0,
  4971. 0, 0,
  4972. 0, 0,
  4973. 0, 0,
  4974. 0, 0,
  4975. 0, 0,
  4976. 0, 0,
  4977. 0, 0,
  4978. 0, 0,
  4979. 0, 0,
  4980. 0, 0,
  4981. 0, 0,
  4982. 0, 0,
  4983. GP_4_17_FN, GPSR4_17,
  4984. GP_4_16_FN, GPSR4_16,
  4985. GP_4_15_FN, GPSR4_15,
  4986. GP_4_14_FN, GPSR4_14,
  4987. GP_4_13_FN, GPSR4_13,
  4988. GP_4_12_FN, GPSR4_12,
  4989. GP_4_11_FN, GPSR4_11,
  4990. GP_4_10_FN, GPSR4_10,
  4991. GP_4_9_FN, GPSR4_9,
  4992. GP_4_8_FN, GPSR4_8,
  4993. GP_4_7_FN, GPSR4_7,
  4994. GP_4_6_FN, GPSR4_6,
  4995. GP_4_5_FN, GPSR4_5,
  4996. GP_4_4_FN, GPSR4_4,
  4997. GP_4_3_FN, GPSR4_3,
  4998. GP_4_2_FN, GPSR4_2,
  4999. GP_4_1_FN, GPSR4_1,
  5000. GP_4_0_FN, GPSR4_0, ))
  5001. },
  5002. { PINMUX_CFG_REG("GPSR5", 0xe6060114, 32, 1, GROUP(
  5003. 0, 0,
  5004. 0, 0,
  5005. 0, 0,
  5006. 0, 0,
  5007. 0, 0,
  5008. 0, 0,
  5009. GP_5_25_FN, GPSR5_25,
  5010. GP_5_24_FN, GPSR5_24,
  5011. GP_5_23_FN, GPSR5_23,
  5012. GP_5_22_FN, GPSR5_22,
  5013. GP_5_21_FN, GPSR5_21,
  5014. GP_5_20_FN, GPSR5_20,
  5015. GP_5_19_FN, GPSR5_19,
  5016. GP_5_18_FN, GPSR5_18,
  5017. GP_5_17_FN, GPSR5_17,
  5018. GP_5_16_FN, GPSR5_16,
  5019. GP_5_15_FN, GPSR5_15,
  5020. GP_5_14_FN, GPSR5_14,
  5021. GP_5_13_FN, GPSR5_13,
  5022. GP_5_12_FN, GPSR5_12,
  5023. GP_5_11_FN, GPSR5_11,
  5024. GP_5_10_FN, GPSR5_10,
  5025. GP_5_9_FN, GPSR5_9,
  5026. GP_5_8_FN, GPSR5_8,
  5027. GP_5_7_FN, GPSR5_7,
  5028. GP_5_6_FN, GPSR5_6,
  5029. GP_5_5_FN, GPSR5_5,
  5030. GP_5_4_FN, GPSR5_4,
  5031. GP_5_3_FN, GPSR5_3,
  5032. GP_5_2_FN, GPSR5_2,
  5033. GP_5_1_FN, GPSR5_1,
  5034. GP_5_0_FN, GPSR5_0, ))
  5035. },
  5036. { PINMUX_CFG_REG("GPSR6", 0xe6060118, 32, 1, GROUP(
  5037. GP_6_31_FN, GPSR6_31,
  5038. GP_6_30_FN, GPSR6_30,
  5039. GP_6_29_FN, GPSR6_29,
  5040. GP_6_28_FN, GPSR6_28,
  5041. GP_6_27_FN, GPSR6_27,
  5042. GP_6_26_FN, GPSR6_26,
  5043. GP_6_25_FN, GPSR6_25,
  5044. GP_6_24_FN, GPSR6_24,
  5045. GP_6_23_FN, GPSR6_23,
  5046. GP_6_22_FN, GPSR6_22,
  5047. GP_6_21_FN, GPSR6_21,
  5048. GP_6_20_FN, GPSR6_20,
  5049. GP_6_19_FN, GPSR6_19,
  5050. GP_6_18_FN, GPSR6_18,
  5051. GP_6_17_FN, GPSR6_17,
  5052. GP_6_16_FN, GPSR6_16,
  5053. GP_6_15_FN, GPSR6_15,
  5054. GP_6_14_FN, GPSR6_14,
  5055. GP_6_13_FN, GPSR6_13,
  5056. GP_6_12_FN, GPSR6_12,
  5057. GP_6_11_FN, GPSR6_11,
  5058. GP_6_10_FN, GPSR6_10,
  5059. GP_6_9_FN, GPSR6_9,
  5060. GP_6_8_FN, GPSR6_8,
  5061. GP_6_7_FN, GPSR6_7,
  5062. GP_6_6_FN, GPSR6_6,
  5063. GP_6_5_FN, GPSR6_5,
  5064. GP_6_4_FN, GPSR6_4,
  5065. GP_6_3_FN, GPSR6_3,
  5066. GP_6_2_FN, GPSR6_2,
  5067. GP_6_1_FN, GPSR6_1,
  5068. GP_6_0_FN, GPSR6_0, ))
  5069. },
  5070. { PINMUX_CFG_REG("GPSR7", 0xe606011c, 32, 1, GROUP(
  5071. 0, 0,
  5072. 0, 0,
  5073. 0, 0,
  5074. 0, 0,
  5075. 0, 0,
  5076. 0, 0,
  5077. 0, 0,
  5078. 0, 0,
  5079. 0, 0,
  5080. 0, 0,
  5081. 0, 0,
  5082. 0, 0,
  5083. 0, 0,
  5084. 0, 0,
  5085. 0, 0,
  5086. 0, 0,
  5087. 0, 0,
  5088. 0, 0,
  5089. 0, 0,
  5090. 0, 0,
  5091. 0, 0,
  5092. 0, 0,
  5093. 0, 0,
  5094. 0, 0,
  5095. 0, 0,
  5096. 0, 0,
  5097. 0, 0,
  5098. 0, 0,
  5099. GP_7_3_FN, GPSR7_3,
  5100. GP_7_2_FN, GPSR7_2,
  5101. GP_7_1_FN, GPSR7_1,
  5102. GP_7_0_FN, GPSR7_0, ))
  5103. },
  5104. #undef F_
  5105. #undef FM
  5106. #define F_(x, y) x,
  5107. #define FM(x) FN_##x,
  5108. { PINMUX_CFG_REG("IPSR0", 0xe6060200, 32, 4, GROUP(
  5109. IP0_31_28
  5110. IP0_27_24
  5111. IP0_23_20
  5112. IP0_19_16
  5113. IP0_15_12
  5114. IP0_11_8
  5115. IP0_7_4
  5116. IP0_3_0 ))
  5117. },
  5118. { PINMUX_CFG_REG("IPSR1", 0xe6060204, 32, 4, GROUP(
  5119. IP1_31_28
  5120. IP1_27_24
  5121. IP1_23_20
  5122. IP1_19_16
  5123. IP1_15_12
  5124. IP1_11_8
  5125. IP1_7_4
  5126. IP1_3_0 ))
  5127. },
  5128. { PINMUX_CFG_REG("IPSR2", 0xe6060208, 32, 4, GROUP(
  5129. IP2_31_28
  5130. IP2_27_24
  5131. IP2_23_20
  5132. IP2_19_16
  5133. IP2_15_12
  5134. IP2_11_8
  5135. IP2_7_4
  5136. IP2_3_0 ))
  5137. },
  5138. { PINMUX_CFG_REG("IPSR3", 0xe606020c, 32, 4, GROUP(
  5139. IP3_31_28
  5140. IP3_27_24
  5141. IP3_23_20
  5142. IP3_19_16
  5143. IP3_15_12
  5144. IP3_11_8
  5145. IP3_7_4
  5146. IP3_3_0 ))
  5147. },
  5148. { PINMUX_CFG_REG("IPSR4", 0xe6060210, 32, 4, GROUP(
  5149. IP4_31_28
  5150. IP4_27_24
  5151. IP4_23_20
  5152. IP4_19_16
  5153. IP4_15_12
  5154. IP4_11_8
  5155. IP4_7_4
  5156. IP4_3_0 ))
  5157. },
  5158. { PINMUX_CFG_REG("IPSR5", 0xe6060214, 32, 4, GROUP(
  5159. IP5_31_28
  5160. IP5_27_24
  5161. IP5_23_20
  5162. IP5_19_16
  5163. IP5_15_12
  5164. IP5_11_8
  5165. IP5_7_4
  5166. IP5_3_0 ))
  5167. },
  5168. { PINMUX_CFG_REG("IPSR6", 0xe6060218, 32, 4, GROUP(
  5169. IP6_31_28
  5170. IP6_27_24
  5171. IP6_23_20
  5172. IP6_19_16
  5173. IP6_15_12
  5174. IP6_11_8
  5175. IP6_7_4
  5176. IP6_3_0 ))
  5177. },
  5178. { PINMUX_CFG_REG("IPSR7", 0xe606021c, 32, 4, GROUP(
  5179. IP7_31_28
  5180. IP7_27_24
  5181. IP7_23_20
  5182. IP7_19_16
  5183. /* IP7_15_12 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
  5184. IP7_11_8
  5185. IP7_7_4
  5186. IP7_3_0 ))
  5187. },
  5188. { PINMUX_CFG_REG("IPSR8", 0xe6060220, 32, 4, GROUP(
  5189. IP8_31_28
  5190. IP8_27_24
  5191. IP8_23_20
  5192. IP8_19_16
  5193. IP8_15_12
  5194. IP8_11_8
  5195. IP8_7_4
  5196. IP8_3_0 ))
  5197. },
  5198. { PINMUX_CFG_REG("IPSR9", 0xe6060224, 32, 4, GROUP(
  5199. IP9_31_28
  5200. IP9_27_24
  5201. IP9_23_20
  5202. IP9_19_16
  5203. IP9_15_12
  5204. IP9_11_8
  5205. IP9_7_4
  5206. IP9_3_0 ))
  5207. },
  5208. { PINMUX_CFG_REG("IPSR10", 0xe6060228, 32, 4, GROUP(
  5209. IP10_31_28
  5210. IP10_27_24
  5211. IP10_23_20
  5212. IP10_19_16
  5213. IP10_15_12
  5214. IP10_11_8
  5215. IP10_7_4
  5216. IP10_3_0 ))
  5217. },
  5218. { PINMUX_CFG_REG("IPSR11", 0xe606022c, 32, 4, GROUP(
  5219. IP11_31_28
  5220. IP11_27_24
  5221. IP11_23_20
  5222. IP11_19_16
  5223. IP11_15_12
  5224. IP11_11_8
  5225. IP11_7_4
  5226. IP11_3_0 ))
  5227. },
  5228. { PINMUX_CFG_REG("IPSR12", 0xe6060230, 32, 4, GROUP(
  5229. IP12_31_28
  5230. IP12_27_24
  5231. IP12_23_20
  5232. IP12_19_16
  5233. IP12_15_12
  5234. IP12_11_8
  5235. IP12_7_4
  5236. IP12_3_0 ))
  5237. },
  5238. { PINMUX_CFG_REG("IPSR13", 0xe6060234, 32, 4, GROUP(
  5239. IP13_31_28
  5240. IP13_27_24
  5241. IP13_23_20
  5242. IP13_19_16
  5243. IP13_15_12
  5244. IP13_11_8
  5245. IP13_7_4
  5246. IP13_3_0 ))
  5247. },
  5248. { PINMUX_CFG_REG("IPSR14", 0xe6060238, 32, 4, GROUP(
  5249. IP14_31_28
  5250. IP14_27_24
  5251. IP14_23_20
  5252. IP14_19_16
  5253. IP14_15_12
  5254. IP14_11_8
  5255. IP14_7_4
  5256. IP14_3_0 ))
  5257. },
  5258. { PINMUX_CFG_REG("IPSR15", 0xe606023c, 32, 4, GROUP(
  5259. IP15_31_28
  5260. IP15_27_24
  5261. IP15_23_20
  5262. IP15_19_16
  5263. IP15_15_12
  5264. IP15_11_8
  5265. IP15_7_4
  5266. IP15_3_0 ))
  5267. },
  5268. { PINMUX_CFG_REG("IPSR16", 0xe6060240, 32, 4, GROUP(
  5269. IP16_31_28
  5270. IP16_27_24
  5271. IP16_23_20
  5272. IP16_19_16
  5273. IP16_15_12
  5274. IP16_11_8
  5275. IP16_7_4
  5276. IP16_3_0 ))
  5277. },
  5278. { PINMUX_CFG_REG("IPSR17", 0xe6060244, 32, 4, GROUP(
  5279. IP17_31_28
  5280. IP17_27_24
  5281. IP17_23_20
  5282. IP17_19_16
  5283. IP17_15_12
  5284. IP17_11_8
  5285. IP17_7_4
  5286. IP17_3_0 ))
  5287. },
  5288. { PINMUX_CFG_REG("IPSR18", 0xe6060248, 32, 4, GROUP(
  5289. /* IP18_31_28 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
  5290. /* IP18_27_24 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
  5291. /* IP18_23_20 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
  5292. /* IP18_19_16 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
  5293. /* IP18_15_12 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
  5294. /* IP18_11_8 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
  5295. IP18_7_4
  5296. IP18_3_0 ))
  5297. },
  5298. #undef F_
  5299. #undef FM
  5300. #define F_(x, y) x,
  5301. #define FM(x) FN_##x,
  5302. { PINMUX_CFG_REG_VAR("MOD_SEL0", 0xe6060500, 32,
  5303. GROUP(3, 2, 3, 1, 1, 1, 1, 1, 2, 1, 1, 2,
  5304. 1, 1, 1, 2, 2, 1, 2, 3),
  5305. GROUP(
  5306. MOD_SEL0_31_30_29
  5307. MOD_SEL0_28_27
  5308. MOD_SEL0_26_25_24
  5309. MOD_SEL0_23
  5310. MOD_SEL0_22
  5311. MOD_SEL0_21
  5312. MOD_SEL0_20
  5313. MOD_SEL0_19
  5314. MOD_SEL0_18_17
  5315. MOD_SEL0_16
  5316. 0, 0, /* RESERVED 15 */
  5317. MOD_SEL0_14_13
  5318. MOD_SEL0_12
  5319. MOD_SEL0_11
  5320. MOD_SEL0_10
  5321. MOD_SEL0_9_8
  5322. MOD_SEL0_7_6
  5323. MOD_SEL0_5
  5324. MOD_SEL0_4_3
  5325. /* RESERVED 2, 1, 0 */
  5326. 0, 0, 0, 0, 0, 0, 0, 0 ))
  5327. },
  5328. { PINMUX_CFG_REG_VAR("MOD_SEL1", 0xe6060504, 32,
  5329. GROUP(2, 3, 1, 2, 3, 1, 1, 2, 1, 2, 1, 1,
  5330. 1, 1, 1, 2, 1, 1, 1, 1, 1, 1, 1),
  5331. GROUP(
  5332. MOD_SEL1_31_30
  5333. MOD_SEL1_29_28_27
  5334. MOD_SEL1_26
  5335. MOD_SEL1_25_24
  5336. MOD_SEL1_23_22_21
  5337. MOD_SEL1_20
  5338. MOD_SEL1_19
  5339. MOD_SEL1_18_17
  5340. MOD_SEL1_16
  5341. MOD_SEL1_15_14
  5342. MOD_SEL1_13
  5343. MOD_SEL1_12
  5344. MOD_SEL1_11
  5345. MOD_SEL1_10
  5346. MOD_SEL1_9
  5347. 0, 0, 0, 0, /* RESERVED 8, 7 */
  5348. MOD_SEL1_6
  5349. MOD_SEL1_5
  5350. MOD_SEL1_4
  5351. MOD_SEL1_3
  5352. MOD_SEL1_2
  5353. MOD_SEL1_1
  5354. MOD_SEL1_0 ))
  5355. },
  5356. { PINMUX_CFG_REG_VAR("MOD_SEL2", 0xe6060508, 32,
  5357. GROUP(1, 1, 1, 2, 1, 3, 1, 1, 1, 1, 1, 1,
  5358. 1, 4, 4, 4, 3, 1),
  5359. GROUP(
  5360. MOD_SEL2_31
  5361. MOD_SEL2_30
  5362. MOD_SEL2_29
  5363. MOD_SEL2_28_27
  5364. MOD_SEL2_26
  5365. MOD_SEL2_25_24_23
  5366. /* RESERVED 22 */
  5367. 0, 0,
  5368. MOD_SEL2_21
  5369. MOD_SEL2_20
  5370. MOD_SEL2_19
  5371. MOD_SEL2_18
  5372. MOD_SEL2_17
  5373. /* RESERVED 16 */
  5374. 0, 0,
  5375. /* RESERVED 15, 14, 13, 12 */
  5376. 0, 0, 0, 0, 0, 0, 0, 0,
  5377. 0, 0, 0, 0, 0, 0, 0, 0,
  5378. /* RESERVED 11, 10, 9, 8 */
  5379. 0, 0, 0, 0, 0, 0, 0, 0,
  5380. 0, 0, 0, 0, 0, 0, 0, 0,
  5381. /* RESERVED 7, 6, 5, 4 */
  5382. 0, 0, 0, 0, 0, 0, 0, 0,
  5383. 0, 0, 0, 0, 0, 0, 0, 0,
  5384. /* RESERVED 3, 2, 1 */
  5385. 0, 0, 0, 0, 0, 0, 0, 0,
  5386. MOD_SEL2_0 ))
  5387. },
  5388. { },
  5389. };
  5390. static const struct pinmux_drive_reg pinmux_drive_regs[] = {
  5391. { PINMUX_DRIVE_REG("DRVCTRL0", 0xe6060300) {
  5392. { PIN_QSPI0_SPCLK, 28, 2 }, /* QSPI0_SPCLK */
  5393. { PIN_QSPI0_MOSI_IO0, 24, 2 }, /* QSPI0_MOSI_IO0 */
  5394. { PIN_QSPI0_MISO_IO1, 20, 2 }, /* QSPI0_MISO_IO1 */
  5395. { PIN_QSPI0_IO2, 16, 2 }, /* QSPI0_IO2 */
  5396. { PIN_QSPI0_IO3, 12, 2 }, /* QSPI0_IO3 */
  5397. { PIN_QSPI0_SSL, 8, 2 }, /* QSPI0_SSL */
  5398. { PIN_QSPI1_SPCLK, 4, 2 }, /* QSPI1_SPCLK */
  5399. { PIN_QSPI1_MOSI_IO0, 0, 2 }, /* QSPI1_MOSI_IO0 */
  5400. } },
  5401. { PINMUX_DRIVE_REG("DRVCTRL1", 0xe6060304) {
  5402. { PIN_QSPI1_MISO_IO1, 28, 2 }, /* QSPI1_MISO_IO1 */
  5403. { PIN_QSPI1_IO2, 24, 2 }, /* QSPI1_IO2 */
  5404. { PIN_QSPI1_IO3, 20, 2 }, /* QSPI1_IO3 */
  5405. { PIN_QSPI1_SSL, 16, 2 }, /* QSPI1_SSL */
  5406. { PIN_RPC_INT_N, 12, 2 }, /* RPC_INT# */
  5407. { PIN_RPC_WP_N, 8, 2 }, /* RPC_WP# */
  5408. { PIN_RPC_RESET_N, 4, 2 }, /* RPC_RESET# */
  5409. { PIN_AVB_RX_CTL, 0, 3 }, /* AVB_RX_CTL */
  5410. } },
  5411. { PINMUX_DRIVE_REG("DRVCTRL2", 0xe6060308) {
  5412. { PIN_AVB_RXC, 28, 3 }, /* AVB_RXC */
  5413. { PIN_AVB_RD0, 24, 3 }, /* AVB_RD0 */
  5414. { PIN_AVB_RD1, 20, 3 }, /* AVB_RD1 */
  5415. { PIN_AVB_RD2, 16, 3 }, /* AVB_RD2 */
  5416. { PIN_AVB_RD3, 12, 3 }, /* AVB_RD3 */
  5417. { PIN_AVB_TX_CTL, 8, 3 }, /* AVB_TX_CTL */
  5418. { PIN_AVB_TXC, 4, 3 }, /* AVB_TXC */
  5419. { PIN_AVB_TD0, 0, 3 }, /* AVB_TD0 */
  5420. } },
  5421. { PINMUX_DRIVE_REG("DRVCTRL3", 0xe606030c) {
  5422. { PIN_AVB_TD1, 28, 3 }, /* AVB_TD1 */
  5423. { PIN_AVB_TD2, 24, 3 }, /* AVB_TD2 */
  5424. { PIN_AVB_TD3, 20, 3 }, /* AVB_TD3 */
  5425. { PIN_AVB_TXCREFCLK, 16, 3 }, /* AVB_TXCREFCLK */
  5426. { PIN_AVB_MDIO, 12, 3 }, /* AVB_MDIO */
  5427. { RCAR_GP_PIN(2, 9), 8, 3 }, /* AVB_MDC */
  5428. { RCAR_GP_PIN(2, 10), 4, 3 }, /* AVB_MAGIC */
  5429. { RCAR_GP_PIN(2, 11), 0, 3 }, /* AVB_PHY_INT */
  5430. } },
  5431. { PINMUX_DRIVE_REG("DRVCTRL4", 0xe6060310) {
  5432. { RCAR_GP_PIN(2, 12), 28, 3 }, /* AVB_LINK */
  5433. { RCAR_GP_PIN(2, 13), 24, 3 }, /* AVB_AVTP_MATCH */
  5434. { RCAR_GP_PIN(2, 14), 20, 3 }, /* AVB_AVTP_CAPTURE */
  5435. { RCAR_GP_PIN(2, 0), 16, 3 }, /* IRQ0 */
  5436. { RCAR_GP_PIN(2, 1), 12, 3 }, /* IRQ1 */
  5437. { RCAR_GP_PIN(2, 2), 8, 3 }, /* IRQ2 */
  5438. { RCAR_GP_PIN(2, 3), 4, 3 }, /* IRQ3 */
  5439. { RCAR_GP_PIN(2, 4), 0, 3 }, /* IRQ4 */
  5440. } },
  5441. { PINMUX_DRIVE_REG("DRVCTRL5", 0xe6060314) {
  5442. { RCAR_GP_PIN(2, 5), 28, 3 }, /* IRQ5 */
  5443. { RCAR_GP_PIN(2, 6), 24, 3 }, /* PWM0 */
  5444. { RCAR_GP_PIN(2, 7), 20, 3 }, /* PWM1 */
  5445. { RCAR_GP_PIN(2, 8), 16, 3 }, /* PWM2 */
  5446. { RCAR_GP_PIN(1, 0), 12, 3 }, /* A0 */
  5447. { RCAR_GP_PIN(1, 1), 8, 3 }, /* A1 */
  5448. { RCAR_GP_PIN(1, 2), 4, 3 }, /* A2 */
  5449. { RCAR_GP_PIN(1, 3), 0, 3 }, /* A3 */
  5450. } },
  5451. { PINMUX_DRIVE_REG("DRVCTRL6", 0xe6060318) {
  5452. { RCAR_GP_PIN(1, 4), 28, 3 }, /* A4 */
  5453. { RCAR_GP_PIN(1, 5), 24, 3 }, /* A5 */
  5454. { RCAR_GP_PIN(1, 6), 20, 3 }, /* A6 */
  5455. { RCAR_GP_PIN(1, 7), 16, 3 }, /* A7 */
  5456. { RCAR_GP_PIN(1, 8), 12, 3 }, /* A8 */
  5457. { RCAR_GP_PIN(1, 9), 8, 3 }, /* A9 */
  5458. { RCAR_GP_PIN(1, 10), 4, 3 }, /* A10 */
  5459. { RCAR_GP_PIN(1, 11), 0, 3 }, /* A11 */
  5460. } },
  5461. { PINMUX_DRIVE_REG("DRVCTRL7", 0xe606031c) {
  5462. { RCAR_GP_PIN(1, 12), 28, 3 }, /* A12 */
  5463. { RCAR_GP_PIN(1, 13), 24, 3 }, /* A13 */
  5464. { RCAR_GP_PIN(1, 14), 20, 3 }, /* A14 */
  5465. { RCAR_GP_PIN(1, 15), 16, 3 }, /* A15 */
  5466. { RCAR_GP_PIN(1, 16), 12, 3 }, /* A16 */
  5467. { RCAR_GP_PIN(1, 17), 8, 3 }, /* A17 */
  5468. { RCAR_GP_PIN(1, 18), 4, 3 }, /* A18 */
  5469. { RCAR_GP_PIN(1, 19), 0, 3 }, /* A19 */
  5470. } },
  5471. { PINMUX_DRIVE_REG("DRVCTRL8", 0xe6060320) {
  5472. { RCAR_GP_PIN(1, 28), 28, 3 }, /* CLKOUT */
  5473. { RCAR_GP_PIN(1, 20), 24, 3 }, /* CS0 */
  5474. { RCAR_GP_PIN(1, 21), 20, 3 }, /* CS1_A26 */
  5475. { RCAR_GP_PIN(1, 22), 16, 3 }, /* BS */
  5476. { RCAR_GP_PIN(1, 23), 12, 3 }, /* RD */
  5477. { RCAR_GP_PIN(1, 24), 8, 3 }, /* RD_WR */
  5478. { RCAR_GP_PIN(1, 25), 4, 3 }, /* WE0 */
  5479. { RCAR_GP_PIN(1, 26), 0, 3 }, /* WE1 */
  5480. } },
  5481. { PINMUX_DRIVE_REG("DRVCTRL9", 0xe6060324) {
  5482. { RCAR_GP_PIN(1, 27), 28, 3 }, /* EX_WAIT0 */
  5483. { PIN_PRESETOUT_N, 24, 3 }, /* PRESETOUT# */
  5484. { RCAR_GP_PIN(0, 0), 20, 3 }, /* D0 */
  5485. { RCAR_GP_PIN(0, 1), 16, 3 }, /* D1 */
  5486. { RCAR_GP_PIN(0, 2), 12, 3 }, /* D2 */
  5487. { RCAR_GP_PIN(0, 3), 8, 3 }, /* D3 */
  5488. { RCAR_GP_PIN(0, 4), 4, 3 }, /* D4 */
  5489. { RCAR_GP_PIN(0, 5), 0, 3 }, /* D5 */
  5490. } },
  5491. { PINMUX_DRIVE_REG("DRVCTRL10", 0xe6060328) {
  5492. { RCAR_GP_PIN(0, 6), 28, 3 }, /* D6 */
  5493. { RCAR_GP_PIN(0, 7), 24, 3 }, /* D7 */
  5494. { RCAR_GP_PIN(0, 8), 20, 3 }, /* D8 */
  5495. { RCAR_GP_PIN(0, 9), 16, 3 }, /* D9 */
  5496. { RCAR_GP_PIN(0, 10), 12, 3 }, /* D10 */
  5497. { RCAR_GP_PIN(0, 11), 8, 3 }, /* D11 */
  5498. { RCAR_GP_PIN(0, 12), 4, 3 }, /* D12 */
  5499. { RCAR_GP_PIN(0, 13), 0, 3 }, /* D13 */
  5500. } },
  5501. { PINMUX_DRIVE_REG("DRVCTRL11", 0xe606032c) {
  5502. { RCAR_GP_PIN(0, 14), 28, 3 }, /* D14 */
  5503. { RCAR_GP_PIN(0, 15), 24, 3 }, /* D15 */
  5504. { RCAR_GP_PIN(7, 0), 20, 3 }, /* AVS1 */
  5505. { RCAR_GP_PIN(7, 1), 16, 3 }, /* AVS2 */
  5506. { RCAR_GP_PIN(7, 2), 12, 3 }, /* GP7_02 */
  5507. { RCAR_GP_PIN(7, 3), 8, 3 }, /* GP7_03 */
  5508. { PIN_DU_DOTCLKIN0, 4, 2 }, /* DU_DOTCLKIN0 */
  5509. { PIN_DU_DOTCLKIN1, 0, 2 }, /* DU_DOTCLKIN1 */
  5510. } },
  5511. { PINMUX_DRIVE_REG("DRVCTRL12", 0xe6060330) {
  5512. #ifdef CONFIG_PINCTRL_PFC_R8A77951
  5513. { PIN_DU_DOTCLKIN2, 28, 2 }, /* DU_DOTCLKIN2 */
  5514. #endif
  5515. { PIN_DU_DOTCLKIN3, 24, 2 }, /* DU_DOTCLKIN3 */
  5516. { PIN_FSCLKST_N, 20, 2 }, /* FSCLKST# */
  5517. { PIN_TMS, 4, 2 }, /* TMS */
  5518. } },
  5519. { PINMUX_DRIVE_REG("DRVCTRL13", 0xe6060334) {
  5520. { PIN_TDO, 28, 2 }, /* TDO */
  5521. { PIN_ASEBRK, 24, 2 }, /* ASEBRK */
  5522. { RCAR_GP_PIN(3, 0), 20, 3 }, /* SD0_CLK */
  5523. { RCAR_GP_PIN(3, 1), 16, 3 }, /* SD0_CMD */
  5524. { RCAR_GP_PIN(3, 2), 12, 3 }, /* SD0_DAT0 */
  5525. { RCAR_GP_PIN(3, 3), 8, 3 }, /* SD0_DAT1 */
  5526. { RCAR_GP_PIN(3, 4), 4, 3 }, /* SD0_DAT2 */
  5527. { RCAR_GP_PIN(3, 5), 0, 3 }, /* SD0_DAT3 */
  5528. } },
  5529. { PINMUX_DRIVE_REG("DRVCTRL14", 0xe6060338) {
  5530. { RCAR_GP_PIN(3, 6), 28, 3 }, /* SD1_CLK */
  5531. { RCAR_GP_PIN(3, 7), 24, 3 }, /* SD1_CMD */
  5532. { RCAR_GP_PIN(3, 8), 20, 3 }, /* SD1_DAT0 */
  5533. { RCAR_GP_PIN(3, 9), 16, 3 }, /* SD1_DAT1 */
  5534. { RCAR_GP_PIN(3, 10), 12, 3 }, /* SD1_DAT2 */
  5535. { RCAR_GP_PIN(3, 11), 8, 3 }, /* SD1_DAT3 */
  5536. { RCAR_GP_PIN(4, 0), 4, 3 }, /* SD2_CLK */
  5537. { RCAR_GP_PIN(4, 1), 0, 3 }, /* SD2_CMD */
  5538. } },
  5539. { PINMUX_DRIVE_REG("DRVCTRL15", 0xe606033c) {
  5540. { RCAR_GP_PIN(4, 2), 28, 3 }, /* SD2_DAT0 */
  5541. { RCAR_GP_PIN(4, 3), 24, 3 }, /* SD2_DAT1 */
  5542. { RCAR_GP_PIN(4, 4), 20, 3 }, /* SD2_DAT2 */
  5543. { RCAR_GP_PIN(4, 5), 16, 3 }, /* SD2_DAT3 */
  5544. { RCAR_GP_PIN(4, 6), 12, 3 }, /* SD2_DS */
  5545. { RCAR_GP_PIN(4, 7), 8, 3 }, /* SD3_CLK */
  5546. { RCAR_GP_PIN(4, 8), 4, 3 }, /* SD3_CMD */
  5547. { RCAR_GP_PIN(4, 9), 0, 3 }, /* SD3_DAT0 */
  5548. } },
  5549. { PINMUX_DRIVE_REG("DRVCTRL16", 0xe6060340) {
  5550. { RCAR_GP_PIN(4, 10), 28, 3 }, /* SD3_DAT1 */
  5551. { RCAR_GP_PIN(4, 11), 24, 3 }, /* SD3_DAT2 */
  5552. { RCAR_GP_PIN(4, 12), 20, 3 }, /* SD3_DAT3 */
  5553. { RCAR_GP_PIN(4, 13), 16, 3 }, /* SD3_DAT4 */
  5554. { RCAR_GP_PIN(4, 14), 12, 3 }, /* SD3_DAT5 */
  5555. { RCAR_GP_PIN(4, 15), 8, 3 }, /* SD3_DAT6 */
  5556. { RCAR_GP_PIN(4, 16), 4, 3 }, /* SD3_DAT7 */
  5557. { RCAR_GP_PIN(4, 17), 0, 3 }, /* SD3_DS */
  5558. } },
  5559. { PINMUX_DRIVE_REG("DRVCTRL17", 0xe6060344) {
  5560. { RCAR_GP_PIN(3, 12), 28, 3 }, /* SD0_CD */
  5561. { RCAR_GP_PIN(3, 13), 24, 3 }, /* SD0_WP */
  5562. { RCAR_GP_PIN(3, 14), 20, 3 }, /* SD1_CD */
  5563. { RCAR_GP_PIN(3, 15), 16, 3 }, /* SD1_WP */
  5564. { RCAR_GP_PIN(5, 0), 12, 3 }, /* SCK0 */
  5565. { RCAR_GP_PIN(5, 1), 8, 3 }, /* RX0 */
  5566. { RCAR_GP_PIN(5, 2), 4, 3 }, /* TX0 */
  5567. { RCAR_GP_PIN(5, 3), 0, 3 }, /* CTS0 */
  5568. } },
  5569. { PINMUX_DRIVE_REG("DRVCTRL18", 0xe6060348) {
  5570. { RCAR_GP_PIN(5, 4), 28, 3 }, /* RTS0 */
  5571. { RCAR_GP_PIN(5, 5), 24, 3 }, /* RX1 */
  5572. { RCAR_GP_PIN(5, 6), 20, 3 }, /* TX1 */
  5573. { RCAR_GP_PIN(5, 7), 16, 3 }, /* CTS1 */
  5574. { RCAR_GP_PIN(5, 8), 12, 3 }, /* RTS1 */
  5575. { RCAR_GP_PIN(5, 9), 8, 3 }, /* SCK2 */
  5576. { RCAR_GP_PIN(5, 10), 4, 3 }, /* TX2 */
  5577. { RCAR_GP_PIN(5, 11), 0, 3 }, /* RX2 */
  5578. } },
  5579. { PINMUX_DRIVE_REG("DRVCTRL19", 0xe606034c) {
  5580. { RCAR_GP_PIN(5, 12), 28, 3 }, /* HSCK0 */
  5581. { RCAR_GP_PIN(5, 13), 24, 3 }, /* HRX0 */
  5582. { RCAR_GP_PIN(5, 14), 20, 3 }, /* HTX0 */
  5583. { RCAR_GP_PIN(5, 15), 16, 3 }, /* HCTS0 */
  5584. { RCAR_GP_PIN(5, 16), 12, 3 }, /* HRTS0 */
  5585. { RCAR_GP_PIN(5, 17), 8, 3 }, /* MSIOF0_SCK */
  5586. { RCAR_GP_PIN(5, 18), 4, 3 }, /* MSIOF0_SYNC */
  5587. { RCAR_GP_PIN(5, 19), 0, 3 }, /* MSIOF0_SS1 */
  5588. } },
  5589. { PINMUX_DRIVE_REG("DRVCTRL20", 0xe6060350) {
  5590. { RCAR_GP_PIN(5, 20), 28, 3 }, /* MSIOF0_TXD */
  5591. { RCAR_GP_PIN(5, 21), 24, 3 }, /* MSIOF0_SS2 */
  5592. { RCAR_GP_PIN(5, 22), 20, 3 }, /* MSIOF0_RXD */
  5593. { RCAR_GP_PIN(5, 23), 16, 3 }, /* MLB_CLK */
  5594. { RCAR_GP_PIN(5, 24), 12, 3 }, /* MLB_SIG */
  5595. { RCAR_GP_PIN(5, 25), 8, 3 }, /* MLB_DAT */
  5596. { PIN_MLB_REF, 4, 3 }, /* MLB_REF */
  5597. { RCAR_GP_PIN(6, 0), 0, 3 }, /* SSI_SCK01239 */
  5598. } },
  5599. { PINMUX_DRIVE_REG("DRVCTRL21", 0xe6060354) {
  5600. { RCAR_GP_PIN(6, 1), 28, 3 }, /* SSI_WS01239 */
  5601. { RCAR_GP_PIN(6, 2), 24, 3 }, /* SSI_SDATA0 */
  5602. { RCAR_GP_PIN(6, 3), 20, 3 }, /* SSI_SDATA1 */
  5603. { RCAR_GP_PIN(6, 4), 16, 3 }, /* SSI_SDATA2 */
  5604. { RCAR_GP_PIN(6, 5), 12, 3 }, /* SSI_SCK349 */
  5605. { RCAR_GP_PIN(6, 6), 8, 3 }, /* SSI_WS349 */
  5606. { RCAR_GP_PIN(6, 7), 4, 3 }, /* SSI_SDATA3 */
  5607. { RCAR_GP_PIN(6, 8), 0, 3 }, /* SSI_SCK4 */
  5608. } },
  5609. { PINMUX_DRIVE_REG("DRVCTRL22", 0xe6060358) {
  5610. { RCAR_GP_PIN(6, 9), 28, 3 }, /* SSI_WS4 */
  5611. { RCAR_GP_PIN(6, 10), 24, 3 }, /* SSI_SDATA4 */
  5612. { RCAR_GP_PIN(6, 11), 20, 3 }, /* SSI_SCK5 */
  5613. { RCAR_GP_PIN(6, 12), 16, 3 }, /* SSI_WS5 */
  5614. { RCAR_GP_PIN(6, 13), 12, 3 }, /* SSI_SDATA5 */
  5615. { RCAR_GP_PIN(6, 14), 8, 3 }, /* SSI_SCK6 */
  5616. { RCAR_GP_PIN(6, 15), 4, 3 }, /* SSI_WS6 */
  5617. { RCAR_GP_PIN(6, 16), 0, 3 }, /* SSI_SDATA6 */
  5618. } },
  5619. { PINMUX_DRIVE_REG("DRVCTRL23", 0xe606035c) {
  5620. { RCAR_GP_PIN(6, 17), 28, 3 }, /* SSI_SCK78 */
  5621. { RCAR_GP_PIN(6, 18), 24, 3 }, /* SSI_WS78 */
  5622. { RCAR_GP_PIN(6, 19), 20, 3 }, /* SSI_SDATA7 */
  5623. { RCAR_GP_PIN(6, 20), 16, 3 }, /* SSI_SDATA8 */
  5624. { RCAR_GP_PIN(6, 21), 12, 3 }, /* SSI_SDATA9 */
  5625. { RCAR_GP_PIN(6, 22), 8, 3 }, /* AUDIO_CLKA */
  5626. { RCAR_GP_PIN(6, 23), 4, 3 }, /* AUDIO_CLKB */
  5627. { RCAR_GP_PIN(6, 24), 0, 3 }, /* USB0_PWEN */
  5628. } },
  5629. { PINMUX_DRIVE_REG("DRVCTRL24", 0xe6060360) {
  5630. { RCAR_GP_PIN(6, 25), 28, 3 }, /* USB0_OVC */
  5631. { RCAR_GP_PIN(6, 26), 24, 3 }, /* USB1_PWEN */
  5632. { RCAR_GP_PIN(6, 27), 20, 3 }, /* USB1_OVC */
  5633. { RCAR_GP_PIN(6, 28), 16, 3 }, /* USB30_PWEN */
  5634. { RCAR_GP_PIN(6, 29), 12, 3 }, /* USB30_OVC */
  5635. { RCAR_GP_PIN(6, 30), 8, 3 }, /* GP6_30/USB2_CH3_PWEN */
  5636. { RCAR_GP_PIN(6, 31), 4, 3 }, /* GP6_31/USB2_CH3_OVC */
  5637. } },
  5638. { },
  5639. };
  5640. enum ioctrl_regs {
  5641. POCCTRL,
  5642. TDSELCTRL,
  5643. };
  5644. static const struct pinmux_ioctrl_reg pinmux_ioctrl_regs[] = {
  5645. [POCCTRL] = { 0xe6060380, },
  5646. [TDSELCTRL] = { 0xe60603c0, },
  5647. { /* sentinel */ },
  5648. };
  5649. static int r8a77951_pin_to_pocctrl(struct sh_pfc *pfc,
  5650. unsigned int pin, u32 *pocctrl)
  5651. {
  5652. int bit = -EINVAL;
  5653. *pocctrl = pinmux_ioctrl_regs[POCCTRL].reg;
  5654. if (pin >= RCAR_GP_PIN(3, 0) && pin <= RCAR_GP_PIN(3, 11))
  5655. bit = pin & 0x1f;
  5656. if (pin >= RCAR_GP_PIN(4, 0) && pin <= RCAR_GP_PIN(4, 17))
  5657. bit = (pin & 0x1f) + 12;
  5658. return bit;
  5659. }
  5660. static const struct pinmux_bias_reg pinmux_bias_regs[] = {
  5661. { PINMUX_BIAS_REG("PUEN0", 0xe6060400, "PUD0", 0xe6060440) {
  5662. [ 0] = PIN_QSPI0_SPCLK, /* QSPI0_SPCLK */
  5663. [ 1] = PIN_QSPI0_MOSI_IO0, /* QSPI0_MOSI_IO0 */
  5664. [ 2] = PIN_QSPI0_MISO_IO1, /* QSPI0_MISO_IO1 */
  5665. [ 3] = PIN_QSPI0_IO2, /* QSPI0_IO2 */
  5666. [ 4] = PIN_QSPI0_IO3, /* QSPI0_IO3 */
  5667. [ 5] = PIN_QSPI0_SSL, /* QSPI0_SSL */
  5668. [ 6] = PIN_QSPI1_SPCLK, /* QSPI1_SPCLK */
  5669. [ 7] = PIN_QSPI1_MOSI_IO0, /* QSPI1_MOSI_IO0 */
  5670. [ 8] = PIN_QSPI1_MISO_IO1, /* QSPI1_MISO_IO1 */
  5671. [ 9] = PIN_QSPI1_IO2, /* QSPI1_IO2 */
  5672. [10] = PIN_QSPI1_IO3, /* QSPI1_IO3 */
  5673. [11] = PIN_QSPI1_SSL, /* QSPI1_SSL */
  5674. [12] = PIN_RPC_INT_N, /* RPC_INT# */
  5675. [13] = PIN_RPC_WP_N, /* RPC_WP# */
  5676. [14] = PIN_RPC_RESET_N, /* RPC_RESET# */
  5677. [15] = PIN_AVB_RX_CTL, /* AVB_RX_CTL */
  5678. [16] = PIN_AVB_RXC, /* AVB_RXC */
  5679. [17] = PIN_AVB_RD0, /* AVB_RD0 */
  5680. [18] = PIN_AVB_RD1, /* AVB_RD1 */
  5681. [19] = PIN_AVB_RD2, /* AVB_RD2 */
  5682. [20] = PIN_AVB_RD3, /* AVB_RD3 */
  5683. [21] = PIN_AVB_TX_CTL, /* AVB_TX_CTL */
  5684. [22] = PIN_AVB_TXC, /* AVB_TXC */
  5685. [23] = PIN_AVB_TD0, /* AVB_TD0 */
  5686. [24] = PIN_AVB_TD1, /* AVB_TD1 */
  5687. [25] = PIN_AVB_TD2, /* AVB_TD2 */
  5688. [26] = PIN_AVB_TD3, /* AVB_TD3 */
  5689. [27] = PIN_AVB_TXCREFCLK, /* AVB_TXCREFCLK */
  5690. [28] = PIN_AVB_MDIO, /* AVB_MDIO */
  5691. [29] = RCAR_GP_PIN(2, 9), /* AVB_MDC */
  5692. [30] = RCAR_GP_PIN(2, 10), /* AVB_MAGIC */
  5693. [31] = RCAR_GP_PIN(2, 11), /* AVB_PHY_INT */
  5694. } },
  5695. { PINMUX_BIAS_REG("PUEN1", 0xe6060404, "PUD1", 0xe6060444) {
  5696. [ 0] = RCAR_GP_PIN(2, 12), /* AVB_LINK */
  5697. [ 1] = RCAR_GP_PIN(2, 13), /* AVB_AVTP_MATCH_A */
  5698. [ 2] = RCAR_GP_PIN(2, 14), /* AVB_AVTP_CAPTURE_A */
  5699. [ 3] = RCAR_GP_PIN(2, 0), /* IRQ0 */
  5700. [ 4] = RCAR_GP_PIN(2, 1), /* IRQ1 */
  5701. [ 5] = RCAR_GP_PIN(2, 2), /* IRQ2 */
  5702. [ 6] = RCAR_GP_PIN(2, 3), /* IRQ3 */
  5703. [ 7] = RCAR_GP_PIN(2, 4), /* IRQ4 */
  5704. [ 8] = RCAR_GP_PIN(2, 5), /* IRQ5 */
  5705. [ 9] = RCAR_GP_PIN(2, 6), /* PWM0 */
  5706. [10] = RCAR_GP_PIN(2, 7), /* PWM1_A */
  5707. [11] = RCAR_GP_PIN(2, 8), /* PWM2_A */
  5708. [12] = RCAR_GP_PIN(1, 0), /* A0 */
  5709. [13] = RCAR_GP_PIN(1, 1), /* A1 */
  5710. [14] = RCAR_GP_PIN(1, 2), /* A2 */
  5711. [15] = RCAR_GP_PIN(1, 3), /* A3 */
  5712. [16] = RCAR_GP_PIN(1, 4), /* A4 */
  5713. [17] = RCAR_GP_PIN(1, 5), /* A5 */
  5714. [18] = RCAR_GP_PIN(1, 6), /* A6 */
  5715. [19] = RCAR_GP_PIN(1, 7), /* A7 */
  5716. [20] = RCAR_GP_PIN(1, 8), /* A8 */
  5717. [21] = RCAR_GP_PIN(1, 9), /* A9 */
  5718. [22] = RCAR_GP_PIN(1, 10), /* A10 */
  5719. [23] = RCAR_GP_PIN(1, 11), /* A11 */
  5720. [24] = RCAR_GP_PIN(1, 12), /* A12 */
  5721. [25] = RCAR_GP_PIN(1, 13), /* A13 */
  5722. [26] = RCAR_GP_PIN(1, 14), /* A14 */
  5723. [27] = RCAR_GP_PIN(1, 15), /* A15 */
  5724. [28] = RCAR_GP_PIN(1, 16), /* A16 */
  5725. [29] = RCAR_GP_PIN(1, 17), /* A17 */
  5726. [30] = RCAR_GP_PIN(1, 18), /* A18 */
  5727. [31] = RCAR_GP_PIN(1, 19), /* A19 */
  5728. } },
  5729. { PINMUX_BIAS_REG("PUEN2", 0xe6060408, "PUD2", 0xe6060448) {
  5730. [ 0] = RCAR_GP_PIN(1, 28), /* CLKOUT */
  5731. [ 1] = RCAR_GP_PIN(1, 20), /* CS0_N */
  5732. [ 2] = RCAR_GP_PIN(1, 21), /* CS1_N */
  5733. [ 3] = RCAR_GP_PIN(1, 22), /* BS_N */
  5734. [ 4] = RCAR_GP_PIN(1, 23), /* RD_N */
  5735. [ 5] = RCAR_GP_PIN(1, 24), /* RD_WR_N */
  5736. [ 6] = RCAR_GP_PIN(1, 25), /* WE0_N */
  5737. [ 7] = RCAR_GP_PIN(1, 26), /* WE1_N */
  5738. [ 8] = RCAR_GP_PIN(1, 27), /* EX_WAIT0_A */
  5739. [ 9] = PIN_PRESETOUT_N, /* PRESETOUT# */
  5740. [10] = RCAR_GP_PIN(0, 0), /* D0 */
  5741. [11] = RCAR_GP_PIN(0, 1), /* D1 */
  5742. [12] = RCAR_GP_PIN(0, 2), /* D2 */
  5743. [13] = RCAR_GP_PIN(0, 3), /* D3 */
  5744. [14] = RCAR_GP_PIN(0, 4), /* D4 */
  5745. [15] = RCAR_GP_PIN(0, 5), /* D5 */
  5746. [16] = RCAR_GP_PIN(0, 6), /* D6 */
  5747. [17] = RCAR_GP_PIN(0, 7), /* D7 */
  5748. [18] = RCAR_GP_PIN(0, 8), /* D8 */
  5749. [19] = RCAR_GP_PIN(0, 9), /* D9 */
  5750. [20] = RCAR_GP_PIN(0, 10), /* D10 */
  5751. [21] = RCAR_GP_PIN(0, 11), /* D11 */
  5752. [22] = RCAR_GP_PIN(0, 12), /* D12 */
  5753. [23] = RCAR_GP_PIN(0, 13), /* D13 */
  5754. [24] = RCAR_GP_PIN(0, 14), /* D14 */
  5755. [25] = RCAR_GP_PIN(0, 15), /* D15 */
  5756. [26] = RCAR_GP_PIN(7, 0), /* AVS1 */
  5757. [27] = RCAR_GP_PIN(7, 1), /* AVS2 */
  5758. [28] = RCAR_GP_PIN(7, 2), /* GP7_02 */
  5759. [29] = RCAR_GP_PIN(7, 3), /* GP7_03 */
  5760. [30] = PIN_DU_DOTCLKIN0, /* DU_DOTCLKIN0 */
  5761. [31] = PIN_DU_DOTCLKIN1, /* DU_DOTCLKIN1 */
  5762. } },
  5763. { PINMUX_BIAS_REG("PUEN3", 0xe606040c, "PUD3", 0xe606044c) {
  5764. [ 0] = PIN_DU_DOTCLKIN2, /* DU_DOTCLKIN2 */
  5765. [ 1] = PIN_DU_DOTCLKIN3, /* DU_DOTCLKIN3 */
  5766. [ 2] = PIN_FSCLKST_N, /* FSCLKST# */
  5767. [ 3] = PIN_EXTALR, /* EXTALR*/
  5768. [ 4] = PIN_TRST_N, /* TRST# */
  5769. [ 5] = PIN_TCK, /* TCK */
  5770. [ 6] = PIN_TMS, /* TMS */
  5771. [ 7] = PIN_TDI, /* TDI */
  5772. [ 8] = SH_PFC_PIN_NONE,
  5773. [ 9] = PIN_ASEBRK, /* ASEBRK */
  5774. [10] = RCAR_GP_PIN(3, 0), /* SD0_CLK */
  5775. [11] = RCAR_GP_PIN(3, 1), /* SD0_CMD */
  5776. [12] = RCAR_GP_PIN(3, 2), /* SD0_DAT0 */
  5777. [13] = RCAR_GP_PIN(3, 3), /* SD0_DAT1 */
  5778. [14] = RCAR_GP_PIN(3, 4), /* SD0_DAT2 */
  5779. [15] = RCAR_GP_PIN(3, 5), /* SD0_DAT3 */
  5780. [16] = RCAR_GP_PIN(3, 6), /* SD1_CLK */
  5781. [17] = RCAR_GP_PIN(3, 7), /* SD1_CMD */
  5782. [18] = RCAR_GP_PIN(3, 8), /* SD1_DAT0 */
  5783. [19] = RCAR_GP_PIN(3, 9), /* SD1_DAT1 */
  5784. [20] = RCAR_GP_PIN(3, 10), /* SD1_DAT2 */
  5785. [21] = RCAR_GP_PIN(3, 11), /* SD1_DAT3 */
  5786. [22] = RCAR_GP_PIN(4, 0), /* SD2_CLK */
  5787. [23] = RCAR_GP_PIN(4, 1), /* SD2_CMD */
  5788. [24] = RCAR_GP_PIN(4, 2), /* SD2_DAT0 */
  5789. [25] = RCAR_GP_PIN(4, 3), /* SD2_DAT1 */
  5790. [26] = RCAR_GP_PIN(4, 4), /* SD2_DAT2 */
  5791. [27] = RCAR_GP_PIN(4, 5), /* SD2_DAT3 */
  5792. [28] = RCAR_GP_PIN(4, 6), /* SD2_DS */
  5793. [29] = RCAR_GP_PIN(4, 7), /* SD3_CLK */
  5794. [30] = RCAR_GP_PIN(4, 8), /* SD3_CMD */
  5795. [31] = RCAR_GP_PIN(4, 9), /* SD3_DAT0 */
  5796. } },
  5797. { PINMUX_BIAS_REG("PUEN4", 0xe6060410, "PUD4", 0xe6060450) {
  5798. [ 0] = RCAR_GP_PIN(4, 10), /* SD3_DAT1 */
  5799. [ 1] = RCAR_GP_PIN(4, 11), /* SD3_DAT2 */
  5800. [ 2] = RCAR_GP_PIN(4, 12), /* SD3_DAT3 */
  5801. [ 3] = RCAR_GP_PIN(4, 13), /* SD3_DAT4 */
  5802. [ 4] = RCAR_GP_PIN(4, 14), /* SD3_DAT5 */
  5803. [ 5] = RCAR_GP_PIN(4, 15), /* SD3_DAT6 */
  5804. [ 6] = RCAR_GP_PIN(4, 16), /* SD3_DAT7 */
  5805. [ 7] = RCAR_GP_PIN(4, 17), /* SD3_DS */
  5806. [ 8] = RCAR_GP_PIN(3, 12), /* SD0_CD */
  5807. [ 9] = RCAR_GP_PIN(3, 13), /* SD0_WP */
  5808. [10] = RCAR_GP_PIN(3, 14), /* SD1_CD */
  5809. [11] = RCAR_GP_PIN(3, 15), /* SD1_WP */
  5810. [12] = RCAR_GP_PIN(5, 0), /* SCK0 */
  5811. [13] = RCAR_GP_PIN(5, 1), /* RX0 */
  5812. [14] = RCAR_GP_PIN(5, 2), /* TX0 */
  5813. [15] = RCAR_GP_PIN(5, 3), /* CTS0_N */
  5814. [16] = RCAR_GP_PIN(5, 4), /* RTS0_N */
  5815. [17] = RCAR_GP_PIN(5, 5), /* RX1_A */
  5816. [18] = RCAR_GP_PIN(5, 6), /* TX1_A */
  5817. [19] = RCAR_GP_PIN(5, 7), /* CTS1_N */
  5818. [20] = RCAR_GP_PIN(5, 8), /* RTS1_N */
  5819. [21] = RCAR_GP_PIN(5, 9), /* SCK2 */
  5820. [22] = RCAR_GP_PIN(5, 10), /* TX2_A */
  5821. [23] = RCAR_GP_PIN(5, 11), /* RX2_A */
  5822. [24] = RCAR_GP_PIN(5, 12), /* HSCK0 */
  5823. [25] = RCAR_GP_PIN(5, 13), /* HRX0 */
  5824. [26] = RCAR_GP_PIN(5, 14), /* HTX0 */
  5825. [27] = RCAR_GP_PIN(5, 15), /* HCTS0_N */
  5826. [28] = RCAR_GP_PIN(5, 16), /* HRTS0_N */
  5827. [29] = RCAR_GP_PIN(5, 17), /* MSIOF0_SCK */
  5828. [30] = RCAR_GP_PIN(5, 18), /* MSIOF0_SYNC */
  5829. [31] = RCAR_GP_PIN(5, 19), /* MSIOF0_SS1 */
  5830. } },
  5831. { PINMUX_BIAS_REG("PUEN5", 0xe6060414, "PUD5", 0xe6060454) {
  5832. [ 0] = RCAR_GP_PIN(5, 20), /* MSIOF0_TXD */
  5833. [ 1] = RCAR_GP_PIN(5, 21), /* MSIOF0_SS2 */
  5834. [ 2] = RCAR_GP_PIN(5, 22), /* MSIOF0_RXD */
  5835. [ 3] = RCAR_GP_PIN(5, 23), /* MLB_CLK */
  5836. [ 4] = RCAR_GP_PIN(5, 24), /* MLB_SIG */
  5837. [ 5] = RCAR_GP_PIN(5, 25), /* MLB_DAT */
  5838. [ 6] = PIN_MLB_REF, /* MLB_REF */
  5839. [ 7] = RCAR_GP_PIN(6, 0), /* SSI_SCK01239 */
  5840. [ 8] = RCAR_GP_PIN(6, 1), /* SSI_WS01239 */
  5841. [ 9] = RCAR_GP_PIN(6, 2), /* SSI_SDATA0 */
  5842. [10] = RCAR_GP_PIN(6, 3), /* SSI_SDATA1_A */
  5843. [11] = RCAR_GP_PIN(6, 4), /* SSI_SDATA2_A */
  5844. [12] = RCAR_GP_PIN(6, 5), /* SSI_SCK349 */
  5845. [13] = RCAR_GP_PIN(6, 6), /* SSI_WS349 */
  5846. [14] = RCAR_GP_PIN(6, 7), /* SSI_SDATA3 */
  5847. [15] = RCAR_GP_PIN(6, 8), /* SSI_SCK4 */
  5848. [16] = RCAR_GP_PIN(6, 9), /* SSI_WS4 */
  5849. [17] = RCAR_GP_PIN(6, 10), /* SSI_SDATA4 */
  5850. [18] = RCAR_GP_PIN(6, 11), /* SSI_SCK5 */
  5851. [19] = RCAR_GP_PIN(6, 12), /* SSI_WS5 */
  5852. [20] = RCAR_GP_PIN(6, 13), /* SSI_SDATA5 */
  5853. [21] = RCAR_GP_PIN(6, 14), /* SSI_SCK6 */
  5854. [22] = RCAR_GP_PIN(6, 15), /* SSI_WS6 */
  5855. [23] = RCAR_GP_PIN(6, 16), /* SSI_SDATA6 */
  5856. [24] = RCAR_GP_PIN(6, 17), /* SSI_SCK78 */
  5857. [25] = RCAR_GP_PIN(6, 18), /* SSI_WS78 */
  5858. [26] = RCAR_GP_PIN(6, 19), /* SSI_SDATA7 */
  5859. [27] = RCAR_GP_PIN(6, 20), /* SSI_SDATA8 */
  5860. [28] = RCAR_GP_PIN(6, 21), /* SSI_SDATA9_A */
  5861. [29] = RCAR_GP_PIN(6, 22), /* AUDIO_CLKA_A */
  5862. [30] = RCAR_GP_PIN(6, 23), /* AUDIO_CLKB_B */
  5863. [31] = RCAR_GP_PIN(6, 24), /* USB0_PWEN */
  5864. } },
  5865. { PINMUX_BIAS_REG("PUEN6", 0xe6060418, "PUD6", 0xe6060458) {
  5866. [ 0] = RCAR_GP_PIN(6, 25), /* USB0_OVC */
  5867. [ 1] = RCAR_GP_PIN(6, 26), /* USB1_PWEN */
  5868. [ 2] = RCAR_GP_PIN(6, 27), /* USB1_OVC */
  5869. [ 3] = RCAR_GP_PIN(6, 28), /* USB30_PWEN */
  5870. [ 4] = RCAR_GP_PIN(6, 29), /* USB30_OVC */
  5871. [ 5] = RCAR_GP_PIN(6, 30), /* USB2_CH3_PWEN */
  5872. [ 6] = RCAR_GP_PIN(6, 31), /* USB2_CH3_OVC */
  5873. [ 7] = SH_PFC_PIN_NONE,
  5874. [ 8] = SH_PFC_PIN_NONE,
  5875. [ 9] = SH_PFC_PIN_NONE,
  5876. [10] = SH_PFC_PIN_NONE,
  5877. [11] = SH_PFC_PIN_NONE,
  5878. [12] = SH_PFC_PIN_NONE,
  5879. [13] = SH_PFC_PIN_NONE,
  5880. [14] = SH_PFC_PIN_NONE,
  5881. [15] = SH_PFC_PIN_NONE,
  5882. [16] = SH_PFC_PIN_NONE,
  5883. [17] = SH_PFC_PIN_NONE,
  5884. [18] = SH_PFC_PIN_NONE,
  5885. [19] = SH_PFC_PIN_NONE,
  5886. [20] = SH_PFC_PIN_NONE,
  5887. [21] = SH_PFC_PIN_NONE,
  5888. [22] = SH_PFC_PIN_NONE,
  5889. [23] = SH_PFC_PIN_NONE,
  5890. [24] = SH_PFC_PIN_NONE,
  5891. [25] = SH_PFC_PIN_NONE,
  5892. [26] = SH_PFC_PIN_NONE,
  5893. [27] = SH_PFC_PIN_NONE,
  5894. [28] = SH_PFC_PIN_NONE,
  5895. [29] = SH_PFC_PIN_NONE,
  5896. [30] = SH_PFC_PIN_NONE,
  5897. [31] = SH_PFC_PIN_NONE,
  5898. } },
  5899. { /* sentinel */ },
  5900. };
  5901. static unsigned int r8a77951_pinmux_get_bias(struct sh_pfc *pfc,
  5902. unsigned int pin)
  5903. {
  5904. const struct pinmux_bias_reg *reg;
  5905. unsigned int bit;
  5906. reg = sh_pfc_pin_to_bias_reg(pfc, pin, &bit);
  5907. if (!reg)
  5908. return PIN_CONFIG_BIAS_DISABLE;
  5909. if (!(sh_pfc_read(pfc, reg->puen) & BIT(bit)))
  5910. return PIN_CONFIG_BIAS_DISABLE;
  5911. else if (sh_pfc_read(pfc, reg->pud) & BIT(bit))
  5912. return PIN_CONFIG_BIAS_PULL_UP;
  5913. else
  5914. return PIN_CONFIG_BIAS_PULL_DOWN;
  5915. }
  5916. static void r8a77951_pinmux_set_bias(struct sh_pfc *pfc, unsigned int pin,
  5917. unsigned int bias)
  5918. {
  5919. const struct pinmux_bias_reg *reg;
  5920. u32 enable, updown;
  5921. unsigned int bit;
  5922. reg = sh_pfc_pin_to_bias_reg(pfc, pin, &bit);
  5923. if (!reg)
  5924. return;
  5925. enable = sh_pfc_read(pfc, reg->puen) & ~BIT(bit);
  5926. if (bias != PIN_CONFIG_BIAS_DISABLE)
  5927. enable |= BIT(bit);
  5928. updown = sh_pfc_read(pfc, reg->pud) & ~BIT(bit);
  5929. if (bias == PIN_CONFIG_BIAS_PULL_UP)
  5930. updown |= BIT(bit);
  5931. sh_pfc_write(pfc, reg->pud, updown);
  5932. sh_pfc_write(pfc, reg->puen, enable);
  5933. }
  5934. static const struct sh_pfc_soc_operations r8a77951_pinmux_ops = {
  5935. .pin_to_pocctrl = r8a77951_pin_to_pocctrl,
  5936. .get_bias = r8a77951_pinmux_get_bias,
  5937. .set_bias = r8a77951_pinmux_set_bias,
  5938. };
  5939. #ifdef CONFIG_PINCTRL_PFC_R8A774E1
  5940. const struct sh_pfc_soc_info r8a774e1_pinmux_info = {
  5941. .name = "r8a774e1_pfc",
  5942. .ops = &r8a77951_pinmux_ops,
  5943. .unlock_reg = 0xe6060000, /* PMMR */
  5944. .function = { PINMUX_FUNCTION_BEGIN, PINMUX_FUNCTION_END },
  5945. .pins = pinmux_pins,
  5946. .nr_pins = ARRAY_SIZE(pinmux_pins),
  5947. .groups = pinmux_groups.common,
  5948. .nr_groups = ARRAY_SIZE(pinmux_groups.common),
  5949. .functions = pinmux_functions.common,
  5950. .nr_functions = ARRAY_SIZE(pinmux_functions.common),
  5951. .cfg_regs = pinmux_config_regs,
  5952. .drive_regs = pinmux_drive_regs,
  5953. .bias_regs = pinmux_bias_regs,
  5954. .ioctrl_regs = pinmux_ioctrl_regs,
  5955. .pinmux_data = pinmux_data,
  5956. .pinmux_data_size = ARRAY_SIZE(pinmux_data),
  5957. };
  5958. #endif
  5959. #ifdef CONFIG_PINCTRL_PFC_R8A77951
  5960. const struct sh_pfc_soc_info r8a77951_pinmux_info = {
  5961. .name = "r8a77951_pfc",
  5962. .ops = &r8a77951_pinmux_ops,
  5963. .unlock_reg = 0xe6060000, /* PMMR */
  5964. .function = { PINMUX_FUNCTION_BEGIN, PINMUX_FUNCTION_END },
  5965. .pins = pinmux_pins,
  5966. .nr_pins = ARRAY_SIZE(pinmux_pins),
  5967. .groups = pinmux_groups.common,
  5968. .nr_groups = ARRAY_SIZE(pinmux_groups.common) +
  5969. ARRAY_SIZE(pinmux_groups.automotive),
  5970. .functions = pinmux_functions.common,
  5971. .nr_functions = ARRAY_SIZE(pinmux_functions.common) +
  5972. ARRAY_SIZE(pinmux_functions.automotive),
  5973. .cfg_regs = pinmux_config_regs,
  5974. .drive_regs = pinmux_drive_regs,
  5975. .bias_regs = pinmux_bias_regs,
  5976. .ioctrl_regs = pinmux_ioctrl_regs,
  5977. .pinmux_data = pinmux_data,
  5978. .pinmux_data_size = ARRAY_SIZE(pinmux_data),
  5979. };
  5980. #endif