[abi_symbol_list] access_process_vm activate_task add_timer add_uevent_var add_wait_queue adjust_managed_page_count alarm_cancel alarm_init alarm_start alarm_start_relative alarm_try_to_cancel alloc_anon_inode alloc_chrdev_region __alloc_disk_node alloc_etherdev_mqs alloc_io_pgtable_ops alloc_netdev_mqs alloc_pages_exact __alloc_pages_nodemask __alloc_percpu __alloc_percpu_gfp __alloc_skb alloc_workqueue all_vm_events android_debug_symbol android_rvh_probe_register anon_inode_getfd anon_inode_getfile arc4_crypt arc4_setkey __arch_clear_user __arch_copy_from_user __arch_copy_to_user arch_timer_read_counter arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_hvc __arm_smccc_smc arp_tbl async_schedule_node atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister autoremove_wake_function bcmp bdget_disk bdput bio_add_pc_page bio_alloc_bioset bio_endio bio_put bio_reset __bitmap_andnot __bitmap_clear __bitmap_equal bitmap_find_free_region bitmap_find_next_zero_area_off bitmap_free bitmap_from_arr32 __bitmap_or bitmap_print_to_pagebuf bitmap_release_region __bitmap_set bitmap_to_arr32 __bitmap_weight bitmap_zalloc blk_alloc_queue blk_cleanup_queue blk_execute_rq blk_execute_rq_nowait blk_get_request blk_mq_free_request blk_mq_sched_mark_restart_hctx blk_mq_start_request blk_put_request blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blk_rq_append_bio blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run10 bpf_trace_run12 bpf_trace_run1 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run7 bpf_trace_run8 bpf_trace_run9 bus_register bus_set_iommu bus_unregister cache_line_size call_rcu cancel_delayed_work cancel_delayed_work_sync cancel_work_sync cdev_add cdev_alloc cdev_del cdev_device_add cdev_device_del cdev_init __cfi_slowpath cgroup_taskset_first cgroup_taskset_next __check_object_size check_preempt_curr __class_create class_destroy class_find_device class_for_each_device __class_register class_unregister clear_page __ClearPageMovable clk_bulk_disable clk_bulk_enable clk_bulk_prepare clk_bulk_put_all clk_bulk_unprepare clk_disable clk_divider_ops clk_enable clk_gate_ops clk_get __clk_get_hw __clk_get_name clk_get_parent clk_get_rate clk_hw_get_name clk_hw_get_num_parents clk_hw_get_parent clk_hw_get_parent_by_index clk_hw_get_rate clk_hw_is_enabled clk_hw_is_prepared __clk_is_enabled clk_mux_ops clk_notifier_register clk_prepare clk_put clk_register clk_register_composite clk_register_divider_table clk_register_fixed_factor clk_register_fixed_rate clk_set_parent clk_set_rate clk_unprepare clocks_calc_mult_shift __close_fd cma_alloc cma_release compat_alloc_user_space compat_ptr_ioctl complete complete_all completion_done component_add component_add_typed component_bind_all component_del component_master_add_with_match component_master_del component_match_add_release component_match_add_typed component_unbind_all config_ep_by_speed config_group_init_type_name console_drivers console_suspend_enabled __const_udelay consume_skb contig_page_data _copy_from_iter copy_from_kernel_nofault _copy_to_iter __cpu_active_mask cpu_all_bits cpu_bit_bitmap cpufreq_add_update_util_hook cpufreq_cpu_get cpufreq_cpu_put cpufreq_disable_fast_switch cpufreq_driver_fast_switch cpufreq_driver_resolve_freq __cpufreq_driver_target cpufreq_enable_fast_switch cpufreq_frequency_table_get_index cpufreq_generic_attr cpufreq_generic_frequency_table_verify cpufreq_get_policy cpufreq_policy_transition_delay_us cpufreq_quick_get cpufreq_register_driver cpufreq_register_governor cpufreq_remove_update_util_hook cpufreq_table_index_unsorted cpufreq_this_cpu_can_update cpufreq_unregister_driver cpufreq_unregister_governor cpufreq_update_limits cpufreq_update_util_data cpu_hotplug_disable cpu_hotplug_enable __cpuhp_remove_state __cpuhp_setup_state __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpu_hwcaps cpuidle_driver_state_disabled cpuidle_get_driver cpuidle_pause_and_lock cpuidle_resume_and_unlock cpu_latency_qos_add_request cpu_latency_qos_remove_request cpu_latency_qos_update_request cpumask_any_but cpumask_next cpumask_next_and cpu_number __cpu_online_mask cpu_pm_register_notifier cpu_pm_unregister_notifier __cpu_possible_mask __cpu_present_mask cpu_scale cpus_read_lock cpus_read_unlock cpu_subsys cpu_topology crc32_be crc32_le crc8 crc8_populate_msb crypto_aead_decrypt crypto_aead_encrypt crypto_aead_setauthsize crypto_aead_setkey crypto_alloc_aead crypto_alloc_base crypto_alloc_shash crypto_alloc_skcipher crypto_comp_compress crypto_comp_decompress crypto_destroy_tfm crypto_has_alg __crypto_memneq crypto_register_alg crypto_register_scomp crypto_shash_digest crypto_shash_final crypto_shash_finup crypto_shash_setkey crypto_shash_update crypto_skcipher_decrypt crypto_skcipher_encrypt crypto_skcipher_setkey crypto_unregister_alg crypto_unregister_scomp __crypto_xor _ctype dapm_clock_event dapm_kcontrol_get_value dapm_regulator_event deactivate_task debugfs_attr_read debugfs_attr_write debugfs_create_blob debugfs_create_bool debugfs_create_devm_seqfile debugfs_create_dir debugfs_create_file debugfs_create_regset32 debugfs_create_symlink debugfs_create_u16 debugfs_create_u32 debugfs_create_u64 debugfs_create_u8 debugfs_create_x32 debugfs_lookup debugfs_remove debugfs_rename dec_zone_page_state default_llseek deferred_free delayed_work_timer_fn del_gendisk del_timer del_timer_sync desc_to_gpio destroy_workqueue dev_alloc_name dev_base_lock dev_change_net_namespace dev_close dev_driver_string _dev_emerg _dev_err dev_err_probe dev_fetch_sw_netstats devfreq_add_device devfreq_add_governor devfreq_cooling_unregister devfreq_get_devfreq_by_phandle devfreq_monitor_resume devfreq_monitor_start devfreq_monitor_stop devfreq_monitor_suspend devfreq_recommended_opp devfreq_register_opp_notifier devfreq_remove_device devfreq_remove_governor devfreq_resume_device devfreq_suspend_device devfreq_unregister_opp_notifier devfreq_update_interval dev_fwnode __dev_get_by_index dev_get_by_index dev_get_by_name dev_get_regmap dev_get_stats device_add device_add_disk device_create device_create_bin_file device_create_file device_create_with_groups device_del device_destroy device_for_each_child device_get_child_node_count device_get_match_data device_get_next_child_node device_initialize device_init_wakeup device_link_add device_link_remove device_property_present device_property_read_string device_property_read_u32_array device_register device_remove_bin_file device_remove_file device_rename device_set_of_node_from_dev device_set_wakeup_capable device_show_bool device_store_bool device_unregister _dev_info __dev_kfree_skb_any __devm_alloc_percpu devm_blk_ksm_init devm_clk_bulk_get devm_clk_bulk_get_optional devm_clk_get devm_clk_get_optional devm_clk_register devm_devfreq_add_device devm_devfreq_register_notifier devm_devfreq_remove_device devm_devfreq_unregister_notifier devm_extcon_dev_allocate devm_extcon_dev_register devm_extcon_register_notifier devm_free_irq devm_fwnode_pwm_get devm_gpiochip_add_data_with_key devm_gpiod_get devm_gpiod_get_index devm_gpiod_get_optional devm_gpiod_put devm_gpio_free devm_gpio_request devm_gpio_request_one devm_hwrng_register devm_i2c_new_dummy_device devm_iio_channel_get devm_iio_channel_get_all devm_iio_device_alloc __devm_iio_device_register devm_input_allocate_device devm_ioremap devm_ioremap_resource devm_ioremap_wc devm_iounmap devm_kasprintf devm_kfree devm_kmalloc devm_led_classdev_register_ext devm_led_classdev_unregister devm_mbox_controller_register devm_memremap devm_mfd_add_devices devm_nvmem_cell_get devm_nvmem_device_get devm_nvmem_register devm_of_phy_get_by_index __devm_of_phy_provider_register devm_of_platform_populate devm_phy_create devm_phy_get devm_pinctrl_get devm_pinctrl_put devm_pinctrl_register_and_init devm_platform_get_and_ioremap_resource devm_platform_ioremap_resource devm_platform_ioremap_resource_byname devm_power_supply_get_by_phandle devm_power_supply_register devm_rc_allocate_device devm_rc_register_device devm_regmap_add_irq_chip devm_regmap_field_alloc __devm_regmap_init __devm_regmap_init_i2c __devm_regmap_init_mmio_clk devm_regulator_get devm_regulator_get_exclusive devm_regulator_get_optional devm_regulator_put devm_regulator_register devm_regulator_register_notifier devm_regulator_unregister_notifier devm_request_threaded_irq __devm_reset_control_get devm_reset_controller_register devm_rtc_allocate_device devm_snd_soc_register_card devm_snd_soc_register_component devm_spi_register_controller devm_thermal_zone_of_sensor_register devm_usb_get_phy devm_watchdog_register_device _dev_notice dev_pm_domain_attach_by_id dev_pm_domain_attach_by_name dev_pm_domain_detach dev_pm_genpd_add_notifier dev_pm_genpd_set_performance_state dev_pm_opp_add dev_pm_opp_find_freq_ceil dev_pm_opp_find_freq_ceil_by_volt dev_pm_opp_find_freq_exact dev_pm_opp_find_freq_floor dev_pm_opp_get_freq dev_pm_opp_get_level dev_pm_opp_get_opp_count dev_pm_opp_get_opp_table dev_pm_opp_get_voltage dev_pm_opp_of_add_table dev_pm_opp_of_add_table_indexed dev_pm_opp_of_remove_table dev_pm_opp_put dev_pm_opp_put_opp_table dev_pm_opp_put_regulators dev_pm_opp_remove_all_dynamic dev_pm_opp_set_regulators dev_pm_qos_update_request dev_printk dev_queue_xmit devres_add devres_alloc_node devres_free devres_release dev_set_mac_address dev_set_name _dev_warn disable_irq disable_irq_nosync disable_percpu_irq disk_end_io_acct disk_start_io_acct dma_alloc_attrs dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init dma_buf_attach dma_buf_begin_cpu_access dma_buf_detach dma_buf_end_cpu_access dma_buf_export dma_buf_fd dma_buf_get dma_buf_map_attachment dma_buf_mmap dmabuf_page_pool_alloc dmabuf_page_pool_create dmabuf_page_pool_destroy dmabuf_page_pool_free dma_buf_put dma_buf_unmap_attachment dma_buf_vmap dma_buf_vunmap dma_fence_add_callback dma_fence_array_ops dma_fence_context_alloc dma_fence_default_wait dma_fence_enable_sw_signaling dma_fence_free dma_fence_get_status dma_fence_init dma_fence_release dma_fence_remove_callback dma_fence_signal dma_fence_signal_locked dma_fence_signal_timestamp_locked dma_fence_wait_timeout dma_free_attrs dma_get_sgtable_attrs dma_heap_add dma_heap_buffer_alloc dma_heap_bufferfd_alloc dma_heap_buffer_free dma_heap_find dma_heap_get_dev dma_heap_get_drvdata dma_heap_get_name dma_heap_put dmam_alloc_attrs dma_map_page_attrs dma_map_resource dma_map_sg_attrs dmam_free_coherent dma_mmap_attrs dma_pool_alloc dma_pool_create dma_pool_destroy dma_pool_free dma_resv_wait_timeout_rcu dma_run_dependencies dma_set_coherent_mask dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_resource dma_unmap_sg_attrs do_exit do_wait_intr_irq down downgrade_write down_interruptible down_read down_read_trylock down_timeout down_trylock down_write d_path dput drain_workqueue driver_create_file driver_remove_file driver_unregister drm_add_edid_modes drm_add_modes_noedid drm_atomic_add_affected_connectors drm_atomic_get_crtc_state drm_atomic_helper_check drm_atomic_helper_check_plane_state drm_atomic_helper_cleanup_planes drm_atomic_helper_commit_modeset_disables drm_atomic_helper_commit_modeset_enables drm_atomic_helper_commit_planes drm_atomic_helper_connector_destroy_state drm_atomic_helper_connector_duplicate_state drm_atomic_helper_connector_reset __drm_atomic_helper_crtc_destroy_state __drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_disable_plane drm_atomic_helper_legacy_gamma_set drm_atomic_helper_page_flip __drm_atomic_helper_plane_destroy_state __drm_atomic_helper_plane_duplicate_state drm_atomic_helper_prepare_planes drm_atomic_helper_resume drm_atomic_helper_set_config drm_atomic_helper_shutdown drm_atomic_helper_suspend drm_atomic_helper_swap_state drm_atomic_helper_update_plane drm_atomic_helper_wait_for_vblanks drm_atomic_state_clear drm_atomic_state_default_release __drm_atomic_state_free drm_atomic_state_init drm_bridge_attach drm_calc_timestamping_constants drm_compat_ioctl drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_connector_update_edid_property drm_crtc_cleanup drm_crtc_handle_vblank drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_vblank_get drm_crtc_vblank_off drm_crtc_vblank_on drm_crtc_vblank_put __drm_dbg drm_dev_alloc drm_dev_put drm_dev_register drm_dev_unregister drm_display_mode_to_videomode drm_dp_aux_init drm_dp_aux_register drm_dp_channel_eq_ok drm_dp_clock_recovery_ok drm_dp_dpcd_read drm_dp_dpcd_write drm_dp_link_train_channel_eq_delay drm_dp_link_train_clock_recovery_delay drm_edid_duplicate drm_edid_to_sad drm_encoder_cleanup drm_encoder_init __drm_err drm_format_info drm_framebuffer_cleanup drm_framebuffer_init drm_framebuffer_lookup drm_framebuffer_remove drm_gem_cma_vm_ops drm_gem_create_mmap_offset drm_gem_dumb_destroy drm_gem_handle_create drm_gem_mmap drm_gem_mmap_obj drm_gem_object_free drm_gem_object_init drm_gem_object_lookup drm_gem_object_release drm_gem_prime_export drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_prime_import drm_gem_vm_close drm_get_edid drm_get_format_name drm_helper_hpd_irq_event drm_helper_mode_fill_fb_struct drm_helper_probe_single_connector_modes drm_ioctl drm_is_current_master drm_kms_helper_poll_disable drm_kms_helper_poll_enable drm_kms_helper_poll_fini drm_kms_helper_poll_init drmm_mode_config_init drm_mode_config_cleanup drm_mode_config_reset drm_mode_copy drm_mode_crtc_set_gamma_size drm_mode_duplicate drm_mode_equal drm_mode_object_find drm_mode_object_put drm_mode_probed_add drm_mode_set_crtcinfo drm_mode_set_name drm_mode_vrefresh drm_object_attach_property drm_open drm_panel_add drm_panel_disable drm_panel_enable drm_panel_get_modes drm_panel_init drm_panel_prepare drm_panel_remove drm_panel_unprepare drm_plane_cleanup drm_poll drm_prime_gem_destroy drm_property_blob_put drm_property_create_blob drm_property_create_range drm_property_lookup_blob drm_read drm_release drm_universal_plane_init drm_vblank_init drm_writeback_connector_init drm_writeback_queue_job drm_writeback_signal_completion dump_stack em_cpu_get em_dev_register_perf_domain enable_irq enable_percpu_irq ether_setup eth_header eth_header_cache eth_header_cache_update eth_header_parse eth_mac_addr eth_platform_get_mac_address ethtool_op_get_link ethtool_op_get_ts_info eth_type_trans eth_validate_addr event_triggers_call extcon_get_edev_by_phandle extcon_get_state extcon_set_state_sync failure_tracking fd_install fget find_get_pid find_last_bit find_next_bit find_next_zero_bit find_pid_ns find_task_by_vpid find_vma find_vpid finish_wait flush_dcache_page flush_delayed_work flush_work flush_workqueue follow_pfn font_vga_8x16 for_each_kernel_tracepoint fput frame_vector_create frame_vector_destroy frame_vector_to_pages frame_vector_to_pfns free_irq free_netdev __free_pages free_pages free_pages_exact free_percpu free_percpu_irq freezing_slow_path freq_qos_add_notifier freq_qos_add_request freq_qos_remove_request freq_qos_update_request fs_bio_set fsg_common_create_luns fsg_common_set_cdev fsg_common_set_inquiry_string fsg_common_set_sysfs fsg_config_from_params fsync_bdev fwnode_device_is_available fwnode_get_name fwnode_graph_get_next_endpoint fwnode_graph_get_port_parent fwnode_graph_get_remote_endpoint fwnode_graph_get_remote_port_parent fwnode_graph_parse_endpoint fwnode_handle_get fwnode_handle_put fwnode_property_get_reference_args fwnode_property_present fwnode_property_read_string fwnode_property_read_u32_array fwnode_property_read_u64_array gcd generic_file_llseek generic_handle_irq generic_iommu_put_resv_regions generic_mii_ioctl genlmsg_multicast_allns genlmsg_put genl_register_family genl_unregister_family genphy_resume gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_avail gen_pool_best_fit gen_pool_create gen_pool_destroy gen_pool_dma_alloc_align gen_pool_free_owner gen_pool_has_addr gen_pool_set_algo gen_pool_size gen_pool_virt_to_phys getboottime64 get_cpu_device get_cpu_idle_time get_cpu_idle_time_us get_cpu_iowait_time_us get_device get_each_dmabuf get_freelist_nr_pages __get_free_pages get_governor_parent_kobj get_kernel_pages get_net_ns_by_fd get_net_ns_by_pid get_pid_task get_random_bytes get_random_u32 __get_task_comm get_task_exe_file get_task_mm get_unused_fd_flags get_user_pages get_user_pages_fast get_user_pages_remote get_vaddr_frames get_zeroed_page gic_nonsecure_priorities gov_attr_set_get gov_attr_set_init gov_attr_set_put governor_sysfs_ops gpiochip_add_data_with_key gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_lock_as_irq gpiochip_unlock_as_irq gpiod_direction_input gpiod_direction_output gpiod_direction_output_raw gpiod_get_optional gpiod_get_raw_value gpiod_get_value gpiod_get_value_cansleep gpiod_set_debounce gpiod_set_raw_value gpiod_set_raw_value_cansleep gpiod_set_value gpiod_set_value_cansleep gpiod_to_irq gpio_free gpio_request gpio_request_one gpio_to_desc handle_level_irq handle_nested_irq handle_simple_irq have_governor_per_policy hex_asc hex_to_bin hrtimer_active hrtimer_cancel hrtimer_forward hrtimer_init hrtimer_start_range_ns hrtimer_try_to_cancel __hw_addr_init __hw_addr_sync __hw_addr_unsync i2c_add_adapter i2c_del_adapter i2c_del_driver i2c_get_adapter i2c_get_dma_safe_msg_buf i2c_put_dma_safe_msg_buf i2c_register_driver i2c_smbus_read_byte_data i2c_smbus_read_i2c_block_data i2c_smbus_write_byte_data i2c_smbus_write_i2c_block_data i2c_smbus_write_word_data i2c_transfer i2c_transfer_buffer_flags i2c_unregister_device icc_link_create icc_node_add icc_node_create icc_node_del icc_node_destroy icc_provider_add icc_provider_del icc_put icc_set_bw icc_sync_state ida_alloc_range ida_destroy ida_free idr_alloc idr_destroy idr_find idr_for_each idr_get_next idr_preload idr_remove idr_replace iio_alloc_pollfunc iio_buffer_init iio_buffer_put iio_channel_get iio_channel_release iio_dealloc_pollfunc iio_device_attach_buffer __iio_device_register iio_device_unregister iio_get_channel_type iio_get_time_ns iio_push_to_buffers iio_read_channel_attribute iio_read_channel_processed iio_read_channel_raw iio_trigger_notify_done inc_zone_page_state in_egroup_p inet_csk_get_port init_net init_pseudo __init_rwsem __init_swait_queue_head init_task init_timer_key init_uts_ns init_wait_entry __init_waitqueue_head input_allocate_device input_event input_free_device input_mt_init_slots input_mt_report_slot_state input_register_device input_set_abs_params input_set_capability input_unregister_device iomem_resource iommu_alloc_resv_region iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unregister iommu_fwspec_add_ids iommu_fwspec_free iommu_get_dma_cookie iommu_get_domain_for_dev iommu_group_alloc iommu_group_ref_get iommu_iova_to_phys iommu_map iommu_map_sg iommu_present iommu_put_dma_cookie iommu_unmap __ioremap ioremap_cache iounmap iput ipv6_skip_exthdr irq_create_mapping_affinity irq_create_of_mapping irq_dispose_mapping __irq_domain_add irq_domain_remove irq_domain_simple_ops irq_domain_xlate_onetwocell irq_domain_xlate_twocell irq_find_mapping irq_get_irqchip_state irq_get_irq_data irq_modify_status irq_of_parse_and_map irq_set_affinity_hint irq_set_chained_handler_and_data irq_set_chip irq_set_chip_and_handler_name irq_set_chip_data irq_set_irq_type irq_set_irq_wake irq_set_parent irq_to_desc irq_work_queue irq_work_run irq_work_sync is_dma_buf_file is_vmalloc_addr iterate_fd jiffies_64_to_clock_t jiffies jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kasprintf kernel_cpustat kernel_kobj kernel_param_lock kernel_param_unlock kernel_power_off kernel_restart kernel_sigaction kernfs_find_and_get_ns kernfs_notify kernfs_path_from_node kernfs_put kern_mount kern_unmount key_create_or_update key_put keyring_alloc __kfifo_alloc __kfifo_free __kfifo_in __kfifo_init __kfifo_out __kfifo_to_user kfree kfree_const kfree_sensitive kfree_skb kfree_skb_list kill_anon_super kill_pid kimage_vaddr kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kmemdup kobject_add kobject_create_and_add kobject_del kobject_get kobject_init kobject_init_and_add kobject_put kobject_uevent kobject_uevent_env krealloc ksize kstrdup kstrdup_const kstrndup kstrtobool kstrtobool_from_user kstrtoint kstrtoint_from_user kstrtol_from_user kstrtoll kstrtou16 kstrtou8 kstrtouint kstrtouint_from_user kstrtoul_from_user kstrtoull kstrtoull_from_user ksys_sync_helper kthread_bind kthread_bind_mask kthread_cancel_delayed_work_sync kthread_cancel_work_sync kthread_create_on_node kthread_create_worker kthread_delayed_work_timer_fn kthread_destroy_worker kthread_flush_work kthread_flush_worker kthread_freezable_should_stop __kthread_init_worker kthread_queue_delayed_work kthread_queue_work kthread_should_stop kthread_stop kthread_worker_fn ktime_get ktime_get_coarse_with_offset ktime_get_mono_fast_ns ktime_get_raw ktime_get_raw_ts64 ktime_get_real_ts64 ktime_get_seconds ktime_get_ts64 ktime_get_with_offset kvfree kvfree_call_rcu kvmalloc_node led_classdev_flash_register_ext led_classdev_flash_unregister led_get_flash_fault led_set_brightness_sync led_set_flash_brightness led_set_flash_timeout led_sysfs_disable led_sysfs_enable led_trigger_remove led_update_brightness led_update_flash_brightness __list_add_valid __list_del_entry_valid list_sort __local_bh_enable_ip __lock_page log_buf_addr_get log_buf_len_get __log_post_read_mmio __log_read_mmio log_threaded_irq_wakeup_reason __log_write_mmio loops_per_jiffy lzo1x_1_compress lzo1x_decompress_safe lzorle1x_1_compress match_string mbox_chan_received_data mbox_client_txdone mbox_controller_register mbox_controller_unregister mbox_free_channel mbox_request_channel mbox_send_message mdiobus_alloc_size mdiobus_free __mdiobus_register mdiobus_unregister media_create_intf_link media_create_pad_link media_device_cleanup media_device_init __media_device_register media_device_unregister media_devnode_create media_devnode_remove media_entity_pads_init media_entity_remote_pad media_entity_remove_links __media_entity_setup_link media_graph_walk_next media_graph_walk_start media_pipeline_start media_pipeline_stop media_request_get_by_fd media_request_object_complete media_request_put memblock_end_of_DRAM memchr memcmp memcpy __memcpy_fromio __memcpy_toio memdup_user memmove memory_read_from_buffer memparse memremap memset64 memset __memset_io memstart_addr memunmap migrate_swap mii_check_media mii_ethtool_get_link_ksettings mii_ethtool_gset mii_ethtool_set_link_ksettings mii_link_ok mii_nway_restart mipi_dsi_attach mipi_dsi_dcs_read mipi_dsi_dcs_write mipi_dsi_dcs_write_buffer mipi_dsi_detach mipi_dsi_driver_register_full mipi_dsi_driver_unregister mipi_dsi_generic_read mipi_dsi_generic_write mipi_dsi_host_register mipi_dsi_host_unregister misc_deregister misc_register mktime64 mmc_add_host mmc_alloc_host mmc_can_gpio_cd mmc_cmdq_disable mmc_cmdq_enable mmc_cqe_request_done mmc_detect_change mmc_free_host mmc_get_card mmc_gpio_get_cd mmc_gpio_get_ro mmc_hw_reset mmc_of_parse mmc_put_card mmc_regulator_get_supply mmc_regulator_set_ocr mmc_regulator_set_vqmmc mmc_remove_host mmc_request_done mmc_send_tuning mmc_set_data_timeout mmc_switch mmc_wait_for_req __mmdrop mmput mod_delayed_work_on mod_timer mod_timer_pending module_layout module_put __msecs_to_jiffies msleep msleep_interruptible __mutex_init mutex_is_locked mutex_lock mutex_lock_interruptible mutex_lock_killable mutex_trylock mutex_unlock napi_disable napi_gro_flush napi_gro_receive __napi_schedule napi_schedule_prep nd_tbl neigh_destroy neigh_lookup netdev_alloc_frag __netdev_alloc_skb netdev_err netdev_info netdev_set_default_ethtool_ops netdev_warn netif_carrier_off netif_carrier_on netif_napi_add netif_receive_skb netif_receive_skb_list netif_rx netif_rx_ni netif_tx_stop_all_queues netif_tx_wake_queue netlink_broadcast __netlink_kernel_create netlink_kernel_release netlink_register_notifier netlink_unicast netlink_unregister_notifier net_namespace_list net_ns_type_operations net_ratelimit nf_register_net_hooks nf_unregister_net_hooks nla_find nla_memcpy __nla_parse nla_put_64bit nla_put nla_reserve __nla_validate __nlmsg_put no_llseek nonseekable_open nr_cpu_ids nsecs_to_jiffies ns_to_timespec64 n_tty_ioctl_helper __num_online_cpus nvmem_cell_get nvmem_cell_put nvmem_cell_read nvmem_cell_read_u32 nvmem_cell_write nvmem_device_get nvmem_device_put nvmem_device_read of_address_to_resource of_alias_get_id of_clk_add_provider of_clk_del_provider of_clk_get of_clk_get_by_name of_clk_get_from_provider of_clk_src_onecell_get of_clk_src_simple_get of_count_phandle_with_args of_cpu_node_to_id of_devfreq_cooling_register_power of_device_get_match_data of_device_is_available of_device_is_compatible of_dma_configure_id of_dma_controller_free of_dma_controller_register of_dma_xlate_by_chan_id of_drm_find_bridge of_drm_find_panel of_find_backlight_by_node of_find_compatible_node of_find_device_by_node of_find_i2c_device_by_node of_find_matching_node_and_match of_find_node_by_name of_find_node_by_phandle of_find_node_opts_by_path of_find_node_with_property of_find_property of_fwnode_ops of_genpd_add_device of_genpd_add_provider_onecell of_get_address of_get_child_by_name of_get_cpu_node of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_next_parent of_get_parent of_get_property of_get_regulator_init_data of_graph_get_next_endpoint of_graph_get_remote_node of_graph_get_remote_port_parent of_icc_get of_icc_xlate_onecell of_iomap of_irq_find_parent of_irq_get of_irq_parse_one of_irq_to_resource_table of_machine_is_compatible of_match_device of_match_node of_parse_phandle of_parse_phandle_with_args of_parse_phandle_with_fixed_args of_phandle_iterator_init of_phandle_iterator_next of_phy_simple_xlate of_platform_depopulate of_platform_device_create of_platform_populate of_property_count_elems_of_size of_property_match_string of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_u64 of_property_read_u64_index of_property_read_variable_u16_array of_property_read_variable_u32_array of_property_read_variable_u64_array of_property_read_variable_u8_array of_prop_next_string of_prop_next_u32 of_remove_property of_reserved_mem_device_init_by_idx of_reserved_mem_lookup of_root of_thermal_get_trip_points of_translate_address on_each_cpu oops_in_progress page_endio page_mapping __page_pinner_migration_failed panic panic_notifier_list param_array_ops param_get_bool param_get_charp param_get_int param_get_uint param_get_ulong param_ops_bool param_ops_byte param_ops_charp param_ops_int param_ops_long param_ops_string param_ops_uint param_set_bool param_set_charp param_set_int param_set_uint param_set_ulong pause_cpus PDE_DATA __per_cpu_offset perf_event_create_kernel_counter perf_event_disable perf_event_enable perf_event_release_kernel perf_event_update_userpage perf_num_counters perf_pmu_migrate_context perf_pmu_register perf_pmu_unregister perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_valid phy_connect phy_disconnect phy_do_ioctl_running phy_ethtool_get_link_ksettings phy_ethtool_nway_reset phy_ethtool_set_link_ksettings phy_exit phy_get phy_init phy_power_off phy_power_on phy_print_status phy_put phy_set_mode_ext phy_start phy_stop pid_task pinconf_generic_parse_dt_config pinctrl_dev_get_drvdata pinctrl_enable pinctrl_gpio_direction_input pinctrl_gpio_direction_output pinctrl_lookup_state pinctrl_pm_select_default_state pinctrl_pm_select_sleep_state pinctrl_put pinctrl_select_state pinctrl_utils_add_map_configs pinctrl_utils_free_map pinctrl_utils_reserve_map pin_user_pages pin_user_pages_fast platform_bus_type platform_device_add platform_device_add_data platform_device_add_resources platform_device_alloc platform_device_del platform_device_put platform_device_register platform_device_register_full platform_device_unregister __platform_driver_probe __platform_driver_register platform_driver_unregister platform_find_device_by_driver platform_get_irq platform_get_irq_byname platform_get_irq_byname_optional platform_get_irq_optional platform_get_resource platform_get_resource_byname __platform_register_drivers platform_unregister_drivers pm_genpd_add_device pm_genpd_add_subdomain pm_genpd_init pm_genpd_remove_device __pm_relax pm_relax __pm_runtime_disable pm_runtime_enable pm_runtime_force_resume pm_runtime_force_suspend pm_runtime_get_if_active __pm_runtime_idle __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend __pm_stay_awake pm_stay_awake pm_suspend_default_s2idle pm_system_wakeup pm_wakeup_dev_event pm_wakeup_ws_event pm_wq power_supply_changed power_supply_get_by_name power_supply_get_drvdata power_supply_get_property power_supply_is_system_supplied power_supply_put power_supply_register power_supply_reg_notifier power_supply_set_property power_supply_unreg_notifier prandom_bytes prandom_u32 preempt_schedule preempt_schedule_notrace prepare_to_wait prepare_to_wait_event print_hex_dump printk printk_deferred proc_create proc_create_data proc_create_seq_private proc_create_single_data proc_dointvec_minmax proc_mkdir proc_mkdir_data proc_remove proc_set_user pskb_expand_head __pskb_pull_tail ___pskb_trim put_device put_disk __put_net __put_page put_pid put_task_stack __put_task_struct put_unused_fd put_vaddr_frames pwm_apply_state pwmchip_add pwmchip_remove queue_delayed_work_on queue_work_on ___ratelimit raw_notifier_call_chain raw_notifier_chain_register raw_notifier_chain_unregister _raw_read_lock _raw_read_lock_bh _raw_read_lock_irqsave _raw_read_trylock _raw_read_unlock _raw_read_unlock_bh _raw_read_unlock_irqrestore _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave _raw_spin_trylock _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_lock_bh _raw_write_lock_irqsave _raw_write_unlock _raw_write_unlock_bh _raw_write_unlock_irqrestore rb_erase rb_first rb_insert_color rb_next rb_prev rb_replace_node rcu_barrier rcu_idle_enter rcu_idle_exit __rcu_read_lock __rcu_read_unlock rdev_get_dev rdev_get_drvdata rdev_get_id rdev_get_regmap refcount_warn_saturate __refrigerator regcache_cache_only regcache_mark_dirty regcache_sync register_blkdev __register_chrdev register_chrdev_region register_die_notifier register_inet6addr_notifier register_inetaddr_notifier register_kprobe register_module_notifier register_netdev register_netdevice register_netdevice_notifier register_oom_notifier register_pernet_device register_pernet_subsys register_pm_notifier register_reboot_notifier __register_rpmsg_driver register_shrinker register_syscore_ops register_sysctl_table register_virtio_device register_virtio_driver regmap_bulk_read regmap_bulk_write regmap_field_read regmap_field_update_bits_base __regmap_init regmap_irq_get_domain regmap_raw_read regmap_raw_write regmap_read regmap_update_bits_base regmap_write regulator_count_voltages regulator_disable regulator_disable_regmap regulator_enable regulator_enable_regmap regulator_get regulator_get_current_limit_regmap regulator_get_mode regulator_get_optional regulator_get_voltage regulator_get_voltage_sel_regmap regulator_is_enabled regulator_is_enabled_regmap regulator_list_voltage regulator_list_voltage_linear regulator_list_voltage_linear_range regulator_list_voltage_table regulator_map_voltage_iterate regulator_map_voltage_linear regulator_map_voltage_linear_range regulator_notifier_call_chain regulator_put regulator_set_active_discharge_regmap regulator_set_current_limit regulator_set_current_limit_regmap regulator_set_load regulator_set_mode regulator_set_voltage regulator_set_voltage_sel_regmap regulator_set_voltage_time regulator_set_voltage_time_sel regulator_sync_voltage release_firmware release_pages __release_region remap_pfn_range remap_vmalloc_range remove_proc_entry remove_proc_subtree remove_wait_queue request_firmware request_firmware_nowait __request_percpu_irq __request_region request_threaded_irq reset_control_assert reset_control_deassert reset_controller_register reset_control_reset resume_cpus revalidate_disk_size rfkill_alloc rfkill_blocked rfkill_destroy rfkill_pause_polling rfkill_register rfkill_resume_polling rfkill_set_hw_state rfkill_unregister rhashtable_free_and_destroy rhashtable_insert_slow rhltable_init __rht_bucket_nested rht_bucket_nested rht_bucket_nested_insert round_jiffies round_jiffies_relative round_jiffies_up rpmsg_create_ept rpmsg_destroy_ept rpmsg_find_device rpmsg_register_device rpmsg_send rpmsg_trysend rpmsg_unregister_device rproc_add rproc_add_subdev rproc_alloc rproc_boot rproc_del rproc_free rproc_get_by_phandle rproc_put rproc_remove_subdev rproc_report_crash rproc_shutdown rps_needed rtc_ktime_to_tm rtc_nvmem_register __rtc_register_device rtc_time64_to_tm rtc_tm_to_ktime rtc_tm_to_time64 rtc_update_irq rtc_valid_tm rtnl_is_locked rtnl_lock rtnl_unlock runqueues __sbitmap_queue_get sched_clock sched_feat_keys sched_feat_names sched_setattr_nocheck sched_set_fifo sched_set_normal sched_setscheduler sched_setscheduler_nocheck sched_show_task sched_uclamp_used schedule schedule_timeout scmi_driver_register scmi_driver_unregister scmi_protocol_register scnprintf scsi_autopm_get_device scsi_autopm_put_device scsi_block_requests scsi_command_size_tbl scsi_device_get scsi_device_put scsi_device_quiesce __scsi_execute __scsi_iterate_devices scsi_normalize_sense scsi_print_sense_hdr scsi_unblock_requests sdio_claim_host sdio_claim_irq sdio_disable_func sdio_enable_func sdio_f0_readb sdio_f0_writeb sdio_get_host_pm_caps sdio_readb sdio_readl sdio_readsb sdio_register_driver sdio_release_host sdio_release_irq sdio_set_block_size sdio_set_host_pm_flags sdio_signal_irq sdio_unregister_driver sdio_writeb sdio_writel sdio_writesb send_sig seq_hex_dump seq_lseek seq_open seq_open_private seq_printf seq_putc seq_puts seq_read seq_release seq_release_private seq_vprintf seq_write serial8250_do_set_termios serial8250_do_shutdown serial8250_do_startup serial8250_get_port serial8250_register_8250_port serial8250_resume_port serial8250_rpm_get serial8250_rpm_put serial8250_suspend_port serial8250_unregister_port set_cpus_allowed_ptr set_freezable set_normalized_timespec64 set_page_dirty_lock __SetPageMovable set_task_cpu set_user_nice sg_alloc_table sg_alloc_table_from_pages sg_copy_from_buffer sg_copy_to_buffer sg_free_table sg_init_one sg_init_table sg_miter_next sg_miter_start sg_miter_stop sg_next __sg_page_iter_next __sg_page_iter_start shmem_file_setup si_mem_available si_meminfo simple_attr_open simple_attr_read simple_attr_release simple_attr_write simple_open simple_read_from_buffer simple_strtol simple_write_to_buffer single_open single_release skb_add_rx_frag skb_checksum_help skb_clone skb_clone_sk skb_complete_wifi_ack skb_copy skb_copy_bits skb_copy_expand skb_dequeue skb_ensure_writable __skb_get_hash __skb_gso_segment skb_pull skb_push skb_put skb_queue_head skb_queue_purge skb_queue_tail skb_realloc_headroom skb_trim smp_call_function smp_call_function_single snd_card_add_dev_attr snd_ctl_boolean_mono_info snd_jack_set_key snd_pcm_format_physical_width snd_pcm_format_width snd_pcm_hw_constraint_integer snd_pcm_hw_constraint_list snd_pcm_hw_constraint_mask64 snd_pcm_hw_constraint_minmax snd_pcm_hw_constraint_step snd_pcm_lib_free_pages snd_pcm_lib_malloc_pages snd_pcm_lib_preallocate_free_for_all snd_pcm_lib_preallocate_pages_for_all snd_pcm_period_elapsed snd_pcm_set_ops snd_soc_add_component_controls snd_soc_bytes_info_ext snd_soc_bytes_tlv_callback snd_soc_card_jack_new snd_soc_component_exit_regmap snd_soc_component_init_regmap snd_soc_component_read snd_soc_component_set_jack snd_soc_component_update_bits snd_soc_component_write snd_soc_dai_set_sysclk snd_soc_dapm_add_routes snd_soc_dapm_disable_pin snd_soc_dapm_enable_pin snd_soc_dapm_get_enum_double snd_soc_dapm_get_pin_switch snd_soc_dapm_get_volsw snd_soc_dapm_info_pin_switch snd_soc_dapm_new_controls snd_soc_dapm_new_widgets snd_soc_dapm_put_enum_double snd_soc_dapm_put_pin_switch snd_soc_dapm_put_volsw snd_soc_dapm_sync snd_soc_find_dai snd_soc_get_volsw snd_soc_info_enum_double snd_soc_info_volsw snd_soc_jack_report snd_soc_new_compress snd_soc_of_get_dai_link_codecs snd_soc_poweroff snd_soc_put_volsw snd_soc_register_component snd_soc_resume snd_soc_rtdcom_lookup snd_soc_set_runtime_hwparams snd_soc_unregister_component snprintf soc_device_register soc_device_unregister __sock_create sock_release sort __spi_alloc_controller spi_bus_type spi_finalize_current_transfer __spi_register_driver spi_setup spi_sync split_page spmi_controller_add spmi_controller_alloc spmi_controller_remove __spmi_driver_register spmi_ext_register_read spmi_ext_register_readl spmi_ext_register_write spmi_ext_register_writel spmi_register_read spmi_register_write spmi_register_zero_write sprintf sprint_symbol sprint_symbol_no_offset srcu_init_notifier_head srcu_notifier_call_chain srcu_notifier_chain_register srcu_notifier_chain_unregister __srcu_read_unlock sscanf __stack_chk_fail __stack_chk_guard stack_trace_save static_key_disable_cpuslocked static_key_enable_cpuslocked static_key_slow_dec static_key_slow_inc stop_one_cpu_nowait stpcpy strcasecmp strchr strcmp strcpy strcspn strim strlcat strlcpy strlen strncasecmp strncat strncmp strncpy strncpy_from_user strnlen strnstr strrchr strreplace strscpy strsep strstr suspend_set_ops __sw_hweight16 __sw_hweight32 __sw_hweight64 __sw_hweight8 sync_file_create sync_file_get_fence synchronize_irq synchronize_net synchronize_rcu synchronize_srcu syscon_node_to_regmap syscon_regmap_lookup_by_compatible syscon_regmap_lookup_by_phandle sysctl_sched_features sysfs_create_bin_file sysfs_create_file_ns sysfs_create_group sysfs_create_link __sysfs_match_string sysfs_merge_group sysfs_notify sysfs_remove_bin_file sysfs_remove_file_ns sysfs_remove_group sysfs_remove_link sysfs_streq system_freezable_power_efficient_wq system_freezable_wq system_freezing_cnt system_highpri_wq system_long_wq system_power_efficient_wq system_state system_unbound_wq system_wq sys_tz task_active_pid_ns __tasklet_hi_schedule tasklet_init tasklet_kill __tasklet_schedule tasklet_setup task_may_not_preempt __task_pid_nr_ns __task_rq_lock task_sched_runtime thermal_cooling_device_unregister thermal_of_cooling_device_register thermal_zone_device_update thermal_zone_get_temp thermal_zone_get_zone_by_name tick_nohz_get_idle_calls_cpu timecounter_init timecounter_read timer_unstable_counter_workaround topology_set_thermal_pressure _totalram_pages __trace_bprintk __trace_bputs trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_raw_init trace_event_reg trace_handle_return __traceiter_android_rvh_after_enqueue_task __traceiter_android_rvh_cpu_overutilized __traceiter_android_rvh_dequeue_task __traceiter_android_rvh_dequeue_task_fair __traceiter_android_rvh_enqueue_task __traceiter_android_rvh_enqueue_task_fair __traceiter_android_rvh_find_busiest_group __traceiter_android_rvh_find_energy_efficient_cpu __traceiter_android_rvh_finish_prio_fork __traceiter_android_rvh_media_device_setup_link __traceiter_android_rvh_new_task_stats __traceiter_android_rvh_prepare_prio_fork __traceiter_android_rvh_rtmutex_prepare_setprio __traceiter_android_rvh_sched_newidle_balance __traceiter_android_rvh_select_task_rq_fair __traceiter_android_rvh_select_task_rq_rt __traceiter_android_rvh_setscheduler __traceiter_android_rvh_set_user_nice __traceiter_android_rvh_tick_entry __traceiter_android_rvh_update_cpu_capacity __traceiter_android_rvh_v4l2subdev_set_fmt __traceiter_android_rvh_v4l2subdev_set_frame_interval __traceiter_android_rvh_v4l2subdev_set_selection __traceiter_android_vh_alter_futex_plist_add __traceiter_android_vh_alter_rwsem_list_add __traceiter_android_vh_arch_set_freq_scale __traceiter_android_vh_binder_restore_priority __traceiter_android_vh_binder_set_priority __traceiter_android_vh_binder_transaction_init __traceiter_android_vh_cgroup_attach __traceiter_android_vh_cgroup_set_task __traceiter_android_vh_check_bpf_syscall __traceiter_android_vh_check_file_open __traceiter_android_vh_check_mmap_file __traceiter_android_vh_clear_mask_adjust __traceiter_android_vh_clear_reserved_fmt_fields __traceiter_android_vh_commit_creds __traceiter_android_vh_exit_creds __traceiter_android_vh_fill_ext_fmtdesc __traceiter_android_vh_finish_update_load_avg_se __traceiter_android_vh_freq_qos_add_request __traceiter_android_vh_freq_qos_update_request __traceiter_android_vh_iommu_iovad_alloc_iova __traceiter_android_vh_iommu_iovad_free_iova __traceiter_android_vh_ipv6_gen_linklocal_addr __traceiter_android_vh_is_fpsimd_save __traceiter_android_vh_logbuf __traceiter_android_vh_override_creds __traceiter_android_vh_prepare_update_load_avg_se __traceiter_android_vh_revert_creds __traceiter_android_vh_rwsem_init __traceiter_android_vh_rwsem_wake __traceiter_android_vh_rwsem_write_finished __traceiter_android_vh_scheduler_tick __traceiter_android_vh_selinux_avc_insert __traceiter_android_vh_selinux_avc_lookup __traceiter_android_vh_selinux_avc_node_delete __traceiter_android_vh_selinux_avc_node_replace __traceiter_android_vh_selinux_is_initialized __traceiter_android_vh_set_memory_nx __traceiter_android_vh_set_memory_ro __traceiter_android_vh_set_memory_rw __traceiter_android_vh_set_memory_x __traceiter_android_vh_set_module_permit_after_init __traceiter_android_vh_set_module_permit_before_init __traceiter_android_vh_set_wake_flags __traceiter_android_vh_show_resume_epoch_val __traceiter_android_vh_show_suspend_epoch_val __traceiter_android_vh_snd_compr_use_pause_in_drain __traceiter_android_vh_sound_usb_support_cpu_suspend __traceiter_android_vh_syscall_prctl_finished __traceiter_android_vh_ufs_send_command __traceiter_android_vh_ufs_send_tm_command __traceiter_cpu_frequency __traceiter_gpu_mem_total __traceiter_pelt_se_tp __traceiter_rwmmio_post_read __traceiter_rwmmio_read __traceiter_rwmmio_write __traceiter_sched_update_nr_running_tp __traceiter_task_newtask __traceiter_xhci_urb_giveback trace_output_call __tracepoint_android_rvh_after_enqueue_task __tracepoint_android_rvh_cpu_overutilized __tracepoint_android_rvh_dequeue_task __tracepoint_android_rvh_dequeue_task_fair __tracepoint_android_rvh_enqueue_task __tracepoint_android_rvh_enqueue_task_fair __tracepoint_android_rvh_find_busiest_group __tracepoint_android_rvh_find_energy_efficient_cpu __tracepoint_android_rvh_finish_prio_fork __tracepoint_android_rvh_media_device_setup_link __tracepoint_android_rvh_new_task_stats __tracepoint_android_rvh_prepare_prio_fork __tracepoint_android_rvh_rtmutex_prepare_setprio __tracepoint_android_rvh_sched_newidle_balance __tracepoint_android_rvh_select_task_rq_fair __tracepoint_android_rvh_select_task_rq_rt __tracepoint_android_rvh_setscheduler __tracepoint_android_rvh_set_user_nice __tracepoint_android_rvh_tick_entry __tracepoint_android_rvh_update_cpu_capacity __tracepoint_android_rvh_v4l2subdev_set_fmt __tracepoint_android_rvh_v4l2subdev_set_frame_interval __tracepoint_android_rvh_v4l2subdev_set_selection __tracepoint_android_vh_alter_futex_plist_add __tracepoint_android_vh_alter_rwsem_list_add __tracepoint_android_vh_arch_set_freq_scale __tracepoint_android_vh_binder_restore_priority __tracepoint_android_vh_binder_set_priority __tracepoint_android_vh_binder_transaction_init __tracepoint_android_vh_cgroup_attach __tracepoint_android_vh_cgroup_set_task __tracepoint_android_vh_check_bpf_syscall __tracepoint_android_vh_check_file_open __tracepoint_android_vh_check_mmap_file __tracepoint_android_vh_clear_mask_adjust __tracepoint_android_vh_clear_reserved_fmt_fields __tracepoint_android_vh_commit_creds __tracepoint_android_vh_exit_creds __tracepoint_android_vh_fill_ext_fmtdesc __tracepoint_android_vh_finish_update_load_avg_se __tracepoint_android_vh_freq_qos_add_request __tracepoint_android_vh_freq_qos_update_request __tracepoint_android_vh_iommu_iovad_alloc_iova __tracepoint_android_vh_iommu_iovad_free_iova __tracepoint_android_vh_ipv6_gen_linklocal_addr __tracepoint_android_vh_is_fpsimd_save __tracepoint_android_vh_logbuf __tracepoint_android_vh_override_creds __tracepoint_android_vh_prepare_update_load_avg_se __tracepoint_android_vh_revert_creds __tracepoint_android_vh_rwsem_init __tracepoint_android_vh_rwsem_wake __tracepoint_android_vh_rwsem_write_finished __tracepoint_android_vh_scheduler_tick __tracepoint_android_vh_selinux_avc_insert __tracepoint_android_vh_selinux_avc_lookup __tracepoint_android_vh_selinux_avc_node_delete __tracepoint_android_vh_selinux_avc_node_replace __tracepoint_android_vh_selinux_is_initialized __tracepoint_android_vh_set_memory_nx __tracepoint_android_vh_set_memory_ro __tracepoint_android_vh_set_memory_rw __tracepoint_android_vh_set_memory_x __tracepoint_android_vh_set_module_permit_after_init __tracepoint_android_vh_set_module_permit_before_init __tracepoint_android_vh_set_wake_flags __tracepoint_android_vh_show_resume_epoch_val __tracepoint_android_vh_show_suspend_epoch_val __tracepoint_android_vh_snd_compr_use_pause_in_drain __tracepoint_android_vh_sound_usb_support_cpu_suspend __tracepoint_android_vh_syscall_prctl_finished __tracepoint_android_vh_ufs_send_command __tracepoint_android_vh_ufs_send_tm_command __tracepoint_cpu_frequency __tracepoint_gpu_mem_total __tracepoint_pelt_se_tp tracepoint_probe_register tracepoint_probe_unregister __tracepoint_rwmmio_post_read __tracepoint_rwmmio_read __tracepoint_rwmmio_write __tracepoint_sched_update_nr_running_tp tracepoint_srcu __tracepoint_task_newtask __tracepoint_xhci_urb_giveback trace_print_array_seq trace_print_flags_seq trace_print_symbols_seq __trace_puts trace_raw_output_prep trace_seq_printf trace_seq_putc trace_set_clr_event tracing_off try_module_get try_wait_for_completion tty_driver_flush_buffer tty_flip_buffer_push tty_insert_flip_string_fixed_flag tty_register_ldisc tty_termios_baud_rate tty_termios_encode_baud_rate tty_unregister_ldisc typec_mux_get_drvdata typec_mux_register typec_mux_set typec_mux_unregister typec_partner_set_identity typec_register_partner typec_register_port typec_set_data_role typec_set_orientation typec_set_pwr_opmode typec_set_pwr_role typec_set_vconn_role typec_switch_get_drvdata typec_switch_register typec_switch_unregister typec_unregister_partner typec_unregister_port uart_get_baud_rate uart_get_divisor uart_update_timeout uclamp_eff_value __udelay ufshcd_delay_us ufshcd_dme_get_attr ufshcd_dme_set_attr ufshcd_dump_regs ufshcd_fixup_dev_quirks ufshcd_get_pwr_dev_param ufshcd_hba_enable ufshcd_hba_stop ufshcd_hold ufshcd_link_recovery ufshcd_make_hba_operational ufshcd_pltfrm_init ufshcd_pltfrm_resume ufshcd_pltfrm_runtime_idle ufshcd_pltfrm_runtime_resume ufshcd_pltfrm_runtime_suspend ufshcd_pltfrm_shutdown ufshcd_pltfrm_suspend ufshcd_query_attr ufshcd_query_attr_retry ufshcd_query_descriptor_retry ufshcd_query_flag ufshcd_read_desc_param ufshcd_release ufshcd_remove ufshcd_uic_hibern8_exit ufshcd_update_evt_hist unlock_page unmap_mapping_range unpin_user_page unpin_user_pages unregister_blkdev __unregister_chrdev unregister_chrdev_region unregister_die_notifier unregister_inet6addr_notifier unregister_inetaddr_notifier unregister_kprobe unregister_module_notifier unregister_netdev unregister_netdevice_many unregister_netdevice_notifier unregister_netdevice_queue unregister_oom_notifier unregister_pernet_device unregister_pernet_subsys unregister_pm_notifier unregister_reboot_notifier unregister_rpmsg_driver unregister_shrinker unregister_sysctl_table unregister_virtio_device unregister_virtio_driver up update_devfreq update_rq_clock up_read up_write usb_add_config usb_add_function usb_add_gadget_udc usb_add_hcd usb_add_phy_dev usb_assign_descriptors usb_composite_probe usb_composite_unregister usb_copy_descriptors usb_create_hcd usb_create_shared_hcd usb_debug_root usb_del_gadget_udc usb_deregister usb_disabled usb_ep_alloc_request usb_ep_autoconfig usb_ep_dequeue usb_ep_disable usb_ep_enable usb_ep_free_request usb_ep_queue usb_ep_set_halt usb_ep_set_maxpacket_limit usb_free_all_descriptors usb_function_register usb_function_unregister usb_gadget_connect usb_gadget_disconnect usb_gadget_giveback_request usb_gadget_map_request usb_gadget_probe_driver usb_gadget_set_selfpowered usb_gadget_set_state usb_gadget_unmap_request usb_get_dr_mode usb_get_function usb_get_function_instance usb_get_maximum_speed usb_gstrings_attach usb_hcd_check_unlink_urb usb_hcd_giveback_urb usb_hcd_is_primary_hcd usb_hcd_link_urb_to_ep usb_hcd_poll_rh_status usb_hcd_resume_root_hub usb_hcd_unlink_urb_from_ep usb_hcd_unmap_urb_for_dma usb_interface_id usbnet_change_mtu usbnet_disconnect usbnet_get_drvinfo usbnet_get_endpoints usbnet_get_link usbnet_get_link_ksettings usbnet_get_msglevel usbnet_get_stats64 usbnet_link_change usbnet_nway_reset usbnet_open usbnet_probe usbnet_read_cmd usbnet_read_cmd_nopm usbnet_resume usbnet_set_link_ksettings usbnet_set_msglevel usbnet_skb_return usbnet_start_xmit usbnet_stop usbnet_suspend usbnet_tx_timeout usbnet_unlink_rx_urbs usbnet_update_max_qlen usbnet_write_cmd usbnet_write_cmd_async usbnet_write_cmd_nopm usb_os_desc_prepare_interf_dir usb_otg_state_string usb_phy_set_charger_current usb_put_function usb_put_function_instance usb_put_hcd usb_register_driver usb_remove_function usb_remove_hcd usb_remove_phy usb_role_switch_get usb_role_switch_get_drvdata usb_role_switch_register usb_role_switch_set_role usb_role_switch_unregister usb_speed_string usb_string_id __usecs_to_jiffies usleep_range uuid_null v4l2_async_notifier_add_fwnode_subdev v4l2_async_notifier_add_subdev v4l2_async_notifier_cleanup v4l2_async_notifier_init v4l2_async_notifier_register v4l2_async_notifier_unregister v4l2_async_register_subdev v4l2_async_subdev_notifier_register v4l2_async_unregister_subdev v4l2_compat_ioctl32 v4l2_ctrl_find v4l2_ctrl_g_ctrl v4l2_ctrl_g_ctrl_int64 v4l2_ctrl_handler_free v4l2_ctrl_handler_init_class v4l2_ctrl_handler_setup __v4l2_ctrl_modify_range v4l2_ctrl_new_custom v4l2_ctrl_new_std v4l2_ctrl_new_std_menu v4l2_ctrl_new_std_menu_items v4l2_ctrl_request_complete v4l2_ctrl_request_setup __v4l2_ctrl_s_ctrl __v4l2_ctrl_s_ctrl_compound v4l2_ctrl_subdev_subscribe_event v4l2_ctrl_subscribe_event v4l2_device_register v4l2_device_register_subdev __v4l2_device_register_subdev_nodes v4l2_device_unregister v4l2_device_unregister_subdev v4l2_event_queue v4l2_event_queue_fh v4l2_event_subdev_unsubscribe v4l2_event_subscribe v4l2_event_unsubscribe v4l2_fh_add v4l2_fh_del v4l2_fh_exit v4l2_fh_init v4l2_fh_is_singular v4l2_fh_open __v4l2_find_nearest_size v4l2_format_info v4l2_i2c_subdev_init v4l2_m2m_buf_copy_metadata v4l2_m2m_buf_queue v4l2_m2m_buf_remove v4l2_m2m_ctx_init v4l2_m2m_ctx_release v4l2_m2m_dqbuf v4l2_m2m_fop_mmap v4l2_m2m_fop_poll v4l2_m2m_get_curr_priv v4l2_m2m_get_vq v4l2_m2m_init v4l2_m2m_ioctl_create_bufs v4l2_m2m_ioctl_dqbuf v4l2_m2m_ioctl_expbuf v4l2_m2m_ioctl_prepare_buf v4l2_m2m_ioctl_qbuf v4l2_m2m_ioctl_querybuf v4l2_m2m_ioctl_reqbufs v4l2_m2m_ioctl_streamoff v4l2_m2m_ioctl_streamon v4l2_m2m_job_finish v4l2_m2m_next_buf v4l2_m2m_qbuf v4l2_m2m_register_media_controller v4l2_m2m_release v4l2_m2m_request_queue v4l2_m2m_resume v4l2_m2m_streamoff v4l2_m2m_suspend v4l2_m2m_try_schedule v4l2_m2m_unregister_media_controller v4l2_pipeline_link_notify v4l2_src_change_event_subscribe v4l2_subdev_call_wrappers v4l2_subdev_init v4l2_subdev_link_validate v4l2_subdev_link_validate_default v4l_bound_align_image vabits_actual vb2_buffer_done vb2_common_vm_ops vb2_create_framevec vb2_destroy_framevec vb2_dma_contig_memops vb2_fop_mmap vb2_fop_poll vb2_fop_release vb2_ioctl_create_bufs vb2_ioctl_dqbuf vb2_ioctl_expbuf vb2_ioctl_prepare_buf vb2_ioctl_qbuf vb2_ioctl_querybuf vb2_ioctl_reqbufs vb2_ioctl_streamoff vb2_ioctl_streamon vb2_ops_wait_finish vb2_ops_wait_prepare vb2_plane_cookie vb2_plane_vaddr vb2_queue_init vb2_queue_release vb2_request_object_is_buffer vb2_request_queue vb2_request_validate vchan_dma_desc_free_list vchan_init vchan_tx_desc_free vchan_tx_submit verify_pkcs7_signature vfree video_devdata video_device_alloc video_device_release video_device_release_empty video_ioctl2 __video_register_device video_unregister_device virtqueue_add_inbuf virtqueue_add_outbuf virtqueue_detach_unused_buf virtqueue_get_buf virtqueue_get_vring_size virtqueue_kick_prepare virtqueue_notify vmalloc vmalloc_to_page vmalloc_to_pfn vmalloc_user vmap vm_event_states vmf_insert_mixed vmf_insert_pfn_prot vm_get_page_prot vm_insert_page vm_map_ram vm_node_stat vm_unmap_ram vm_zone_stat vprintk vring_del_virtqueue vring_interrupt vring_new_virtqueue vscnprintf vsnprintf vsprintf vsscanf vunmap vzalloc wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_io_timeout wait_for_completion_killable wait_for_completion_killable_timeout wait_for_completion_timeout wait_woken __wake_up __wake_up_locked wake_up_process wakeup_source_add wakeup_source_create wakeup_source_destroy wakeup_source_register wakeup_source_remove wakeup_source_unregister __warn_printk watchdog_init_timeout watchdog_set_restart_priority wireless_nlevent_flush woken_wake_function work_busy work_on_cpu ww_mutex_unlock xhci_add_endpoint xhci_check_bandwidth xhci_drop_endpoint xhci_gen_setup xhci_get_endpoint_index xhci_get_ep_ctx xhci_init_driver xhci_reset_bandwidth zlib_deflate zlib_deflateEnd zlib_deflateInit2 zlib_deflateReset zlib_deflate_workspacesize # preserved by --additions-only blk_insert_cloned_request class_create_file_ns class_remove_file_ns console_unlock debug_locks_off dev_change_flags devm_of_pwm_get drm_gem_private_object_init hashlen_string hci_alloc_dev hci_free_dev hci_recv_frame hci_register_dev hci_unregister_dev hex_dump_to_buffer kset_find_obj led_classdev_unregister match_hex match_int match_token nla_put_nohdr pin_user_pages_remote root_task_group schedutil_cpu_util send_sig_info skb_pull_rcsum snd_soc_component_test_bits strpbrk strspn syscore_resume syscore_suspend tasklist_lock __traceiter_android_rvh_sched_rebalance_domains __traceiter_android_rvh_uclamp_eff_get __traceiter_android_vh_em_cpu_energy __traceiter_android_vh_freq_qos_remove_request __traceiter_android_vh_iommu_alloc_iova __traceiter_android_vh_iommu_free_iova __traceiter_android_vh_media_device_setup_link __traceiter_android_vh_scmi_timeout_sync __traceiter_android_vh_snd_soc_card_get_comp_chain __traceiter_android_vh_v4l2subdev_set_fmt __traceiter_android_vh_v4l2subdev_set_frame_interval __traceiter_android_vh_v4l2subdev_set_selection __tracepoint_android_rvh_sched_rebalance_domains __tracepoint_android_rvh_uclamp_eff_get __tracepoint_android_vh_em_cpu_energy __tracepoint_android_vh_freq_qos_remove_request __tracepoint_android_vh_iommu_alloc_iova __tracepoint_android_vh_iommu_free_iova __tracepoint_android_vh_media_device_setup_link __tracepoint_android_vh_scmi_timeout_sync __tracepoint_android_vh_snd_soc_card_get_comp_chain __tracepoint_android_vh_ufs_update_sdev __tracepoint_android_vh_v4l2subdev_set_fmt __tracepoint_android_vh_v4l2subdev_set_frame_interval __tracepoint_android_vh_v4l2subdev_set_selection trace_print_hex_seq typec_get_drvdata ufshcd_auto_hibern8_update ufshcd_shutdown unregister_syscore_ops v4l2_m2m_buf_remove_by_buf virtqueue_kick wireless_send_event ww_mutex_lock ww_mutex_lock_interruptible