Makefile 395 B

123456789101112131415161718192021
  1. DESIGN_NAME = de0_nano
  2. QUARTUS_OPTIONS =
  3. all: sta
  4. project: $(TCL_FILE)
  5. quartus_sh $(QUARTUS_OPTIONS) -t $(DESIGN_NAME).tcl
  6. map: project
  7. quartus_map $(QUARTUS_OPTIONS) $(DESIGN_NAME)
  8. fit: map
  9. quartus_fit $(QUARTUS_OPTIONS) $(DESIGN_NAME)
  10. asm: fit
  11. quartus_asm $(QUARTUS_OPTIONS) $(DESIGN_NAME)
  12. sta: asm
  13. quartus_sta $(QUARTUS_OPTIONS) $(DESIGN_NAME)