pll_de2_70.vhd 18 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429
  1. -- megafunction wizard: %ALTPLL%
  2. -- GENERATION: STANDARD
  3. -- VERSION: WM1.0
  4. -- MODULE: altpll
  5. -- ============================================================
  6. -- File Name: pll.vhd
  7. -- Megafunction Name(s):
  8. -- altpll
  9. --
  10. -- Simulation Library Files(s):
  11. -- altera_mf
  12. -- ============================================================
  13. -- ************************************************************
  14. -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
  15. --
  16. -- 13.1.0 Build 162 10/23/2013 SJ Web Edition
  17. -- ************************************************************
  18. --Copyright (C) 1991-2013 Altera Corporation
  19. --Your use of Altera Corporation's design tools, logic functions
  20. --and other software and tools, and its AMPP partner logic
  21. --functions, and any output files from any of the foregoing
  22. --(including device programming or simulation files), and any
  23. --associated documentation or information are expressly subject
  24. --to the terms and conditions of the Altera Program License
  25. --Subscription Agreement, Altera MegaCore Function License
  26. --Agreement, or other applicable license agreement, including,
  27. --without limitation, that your use is for the sole purpose of
  28. --programming logic devices manufactured by Altera and sold by
  29. --Altera or its authorized distributors. Please refer to the
  30. --applicable agreement for further details.
  31. LIBRARY ieee;
  32. USE ieee.std_logic_1164.all;
  33. LIBRARY altera_mf;
  34. USE altera_mf.all;
  35. ENTITY pll IS
  36. PORT
  37. (
  38. areset : IN STD_LOGIC := '0';
  39. inclk0 : IN STD_LOGIC := '0';
  40. c0 : OUT STD_LOGIC ;
  41. c1 : OUT STD_LOGIC ;
  42. c2 : OUT STD_LOGIC ;
  43. locked : OUT STD_LOGIC
  44. );
  45. END pll;
  46. ARCHITECTURE SYN OF pll IS
  47. SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0);
  48. SIGNAL sub_wire1 : STD_LOGIC ;
  49. SIGNAL sub_wire2 : STD_LOGIC ;
  50. SIGNAL sub_wire3 : STD_LOGIC ;
  51. SIGNAL sub_wire4 : STD_LOGIC ;
  52. SIGNAL sub_wire5 : STD_LOGIC ;
  53. SIGNAL sub_wire6 : STD_LOGIC_VECTOR (1 DOWNTO 0);
  54. SIGNAL sub_wire7_bv : BIT_VECTOR (0 DOWNTO 0);
  55. SIGNAL sub_wire7 : STD_LOGIC_VECTOR (0 DOWNTO 0);
  56. COMPONENT altpll
  57. GENERIC (
  58. bandwidth_type : STRING;
  59. clk0_divide_by : NATURAL;
  60. clk0_duty_cycle : NATURAL;
  61. clk0_multiply_by : NATURAL;
  62. clk0_phase_shift : STRING;
  63. clk1_divide_by : NATURAL;
  64. clk1_duty_cycle : NATURAL;
  65. clk1_multiply_by : NATURAL;
  66. clk1_phase_shift : STRING;
  67. clk2_divide_by : NATURAL;
  68. clk2_duty_cycle : NATURAL;
  69. clk2_multiply_by : NATURAL;
  70. clk2_phase_shift : STRING;
  71. compensate_clock : STRING;
  72. inclk0_input_frequency : NATURAL;
  73. intended_device_family : STRING;
  74. lpm_hint : STRING;
  75. lpm_type : STRING;
  76. operation_mode : STRING;
  77. pll_type : STRING;
  78. port_activeclock : STRING;
  79. port_areset : STRING;
  80. port_clkbad0 : STRING;
  81. port_clkbad1 : STRING;
  82. port_clkloss : STRING;
  83. port_clkswitch : STRING;
  84. port_configupdate : STRING;
  85. port_fbin : STRING;
  86. port_inclk0 : STRING;
  87. port_inclk1 : STRING;
  88. port_locked : STRING;
  89. port_pfdena : STRING;
  90. port_phasecounterselect : STRING;
  91. port_phasedone : STRING;
  92. port_phasestep : STRING;
  93. port_phaseupdown : STRING;
  94. port_pllena : STRING;
  95. port_scanaclr : STRING;
  96. port_scanclk : STRING;
  97. port_scanclkena : STRING;
  98. port_scandata : STRING;
  99. port_scandataout : STRING;
  100. port_scandone : STRING;
  101. port_scanread : STRING;
  102. port_scanwrite : STRING;
  103. port_clk0 : STRING;
  104. port_clk1 : STRING;
  105. port_clk2 : STRING;
  106. port_clk3 : STRING;
  107. port_clk4 : STRING;
  108. port_clk5 : STRING;
  109. port_clkena0 : STRING;
  110. port_clkena1 : STRING;
  111. port_clkena2 : STRING;
  112. port_clkena3 : STRING;
  113. port_clkena4 : STRING;
  114. port_clkena5 : STRING;
  115. port_extclk0 : STRING;
  116. port_extclk1 : STRING;
  117. port_extclk2 : STRING;
  118. port_extclk3 : STRING;
  119. self_reset_on_loss_lock : STRING;
  120. width_clock : NATURAL
  121. );
  122. PORT (
  123. areset : IN STD_LOGIC ;
  124. clk : OUT STD_LOGIC_VECTOR (4 DOWNTO 0);
  125. inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
  126. locked : OUT STD_LOGIC
  127. );
  128. END COMPONENT;
  129. BEGIN
  130. sub_wire7_bv(0 DOWNTO 0) <= "0";
  131. sub_wire7 <= To_stdlogicvector(sub_wire7_bv);
  132. sub_wire4 <= sub_wire0(2);
  133. sub_wire3 <= sub_wire0(0);
  134. sub_wire1 <= sub_wire0(1);
  135. c1 <= sub_wire1;
  136. locked <= sub_wire2;
  137. c0 <= sub_wire3;
  138. c2 <= sub_wire4;
  139. sub_wire5 <= inclk0;
  140. sub_wire6 <= sub_wire7(0 DOWNTO 0) & sub_wire5;
  141. altpll_component : altpll
  142. GENERIC MAP (
  143. bandwidth_type => "AUTO",
  144. clk0_divide_by => 1,
  145. clk0_duty_cycle => 50,
  146. clk0_multiply_by => 1,
  147. clk0_phase_shift => "-3000",
  148. clk1_divide_by => 1,
  149. clk1_duty_cycle => 50,
  150. clk1_multiply_by => 1,
  151. clk1_phase_shift => "0",
  152. clk2_divide_by => 1,
  153. clk2_duty_cycle => 50,
  154. clk2_multiply_by => 2,
  155. clk2_phase_shift => "0",
  156. compensate_clock => "CLK1",
  157. inclk0_input_frequency => 20000,
  158. intended_device_family => "Cyclone II",
  159. lpm_hint => "CBX_MODULE_PREFIX=pll",
  160. lpm_type => "altpll",
  161. operation_mode => "NORMAL",
  162. pll_type => "AUTO",
  163. port_activeclock => "PORT_UNUSED",
  164. port_areset => "PORT_USED",
  165. port_clkbad0 => "PORT_UNUSED",
  166. port_clkbad1 => "PORT_UNUSED",
  167. port_clkloss => "PORT_UNUSED",
  168. port_clkswitch => "PORT_UNUSED",
  169. port_configupdate => "PORT_UNUSED",
  170. port_fbin => "PORT_UNUSED",
  171. port_inclk0 => "PORT_USED",
  172. port_inclk1 => "PORT_UNUSED",
  173. port_locked => "PORT_USED",
  174. port_pfdena => "PORT_UNUSED",
  175. port_phasecounterselect => "PORT_UNUSED",
  176. port_phasedone => "PORT_UNUSED",
  177. port_phasestep => "PORT_UNUSED",
  178. port_phaseupdown => "PORT_UNUSED",
  179. port_pllena => "PORT_UNUSED",
  180. port_scanaclr => "PORT_UNUSED",
  181. port_scanclk => "PORT_UNUSED",
  182. port_scanclkena => "PORT_UNUSED",
  183. port_scandata => "PORT_UNUSED",
  184. port_scandataout => "PORT_UNUSED",
  185. port_scandone => "PORT_UNUSED",
  186. port_scanread => "PORT_UNUSED",
  187. port_scanwrite => "PORT_UNUSED",
  188. port_clk0 => "PORT_USED",
  189. port_clk1 => "PORT_USED",
  190. port_clk2 => "PORT_USED",
  191. port_clk3 => "PORT_UNUSED",
  192. port_clk4 => "PORT_UNUSED",
  193. port_clk5 => "PORT_UNUSED",
  194. port_clkena0 => "PORT_UNUSED",
  195. port_clkena1 => "PORT_UNUSED",
  196. port_clkena2 => "PORT_UNUSED",
  197. port_clkena3 => "PORT_UNUSED",
  198. port_clkena4 => "PORT_UNUSED",
  199. port_clkena5 => "PORT_UNUSED",
  200. port_extclk0 => "PORT_UNUSED",
  201. port_extclk1 => "PORT_UNUSED",
  202. port_extclk2 => "PORT_UNUSED",
  203. port_extclk3 => "PORT_UNUSED",
  204. self_reset_on_loss_lock => "OFF",
  205. width_clock => 5
  206. )
  207. PORT MAP (
  208. areset => areset,
  209. inclk => sub_wire6,
  210. clk => sub_wire0,
  211. locked => sub_wire2
  212. );
  213. END SYN;
  214. -- ============================================================
  215. -- CNX file retrieval info
  216. -- ============================================================
  217. -- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
  218. -- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
  219. -- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
  220. -- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
  221. -- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
  222. -- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
  223. -- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
  224. -- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
  225. -- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
  226. -- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
  227. -- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
  228. -- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
  229. -- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
  230. -- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c1"
  231. -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
  232. -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any"
  233. -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
  234. -- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
  235. -- Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1"
  236. -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
  237. -- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
  238. -- Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
  239. -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "100.000000"
  240. -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "100.000000"
  241. -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "100.000000"
  242. -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
  243. -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
  244. -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
  245. -- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
  246. -- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
  247. -- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
  248. -- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
  249. -- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
  250. -- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
  251. -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
  252. -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
  253. -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
  254. -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
  255. -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
  256. -- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
  257. -- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
  258. -- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
  259. -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
  260. -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
  261. -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
  262. -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg"
  263. -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps"
  264. -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
  265. -- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
  266. -- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
  267. -- Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
  268. -- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "2"
  269. -- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "2"
  270. -- Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "2"
  271. -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
  272. -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "90.00000000"
  273. -- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000"
  274. -- Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "100.00000000"
  275. -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
  276. -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0"
  277. -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0"
  278. -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
  279. -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
  280. -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
  281. -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
  282. -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
  283. -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "-54.00000000"
  284. -- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
  285. -- Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000"
  286. -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
  287. -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
  288. -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg"
  289. -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "ps"
  290. -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
  291. -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
  292. -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
  293. -- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
  294. -- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
  295. -- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
  296. -- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
  297. -- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
  298. -- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
  299. -- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
  300. -- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif"
  301. -- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
  302. -- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
  303. -- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
  304. -- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
  305. -- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
  306. -- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
  307. -- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
  308. -- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
  309. -- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
  310. -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
  311. -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
  312. -- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
  313. -- Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
  314. -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
  315. -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
  316. -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
  317. -- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
  318. -- Retrieval info: PRIVATE: USE_CLK1 STRING "1"
  319. -- Retrieval info: PRIVATE: USE_CLK2 STRING "1"
  320. -- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
  321. -- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
  322. -- Retrieval info: PRIVATE: USE_CLKENA2 STRING "0"
  323. -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
  324. -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
  325. -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
  326. -- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
  327. -- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
  328. -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
  329. -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2"
  330. -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "-1500"
  331. -- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1"
  332. -- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
  333. -- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "2"
  334. -- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
  335. -- Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "1"
  336. -- Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
  337. -- Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "2"
  338. -- Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0"
  339. -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK1"
  340. -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
  341. -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
  342. -- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
  343. -- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
  344. -- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
  345. -- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
  346. -- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
  347. -- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
  348. -- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
  349. -- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
  350. -- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
  351. -- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
  352. -- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
  353. -- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
  354. -- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
  355. -- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
  356. -- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
  357. -- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
  358. -- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
  359. -- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
  360. -- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
  361. -- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
  362. -- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
  363. -- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
  364. -- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
  365. -- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
  366. -- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
  367. -- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
  368. -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
  369. -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
  370. -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
  371. -- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
  372. -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED"
  373. -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
  374. -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
  375. -- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
  376. -- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
  377. -- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
  378. -- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
  379. -- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
  380. -- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
  381. -- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
  382. -- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
  383. -- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
  384. -- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
  385. -- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
  386. -- Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
  387. -- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
  388. -- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
  389. -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
  390. -- Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
  391. -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
  392. -- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
  393. -- Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2"
  394. -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
  395. -- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
  396. -- Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
  397. -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
  398. -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
  399. -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
  400. -- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
  401. -- Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
  402. -- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
  403. -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE
  404. -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE
  405. -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE
  406. -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp TRUE
  407. -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE
  408. -- Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.vhd FALSE
  409. -- Retrieval info: LIB_FILE: altera_mf
  410. -- Retrieval info: CBX_MODULE_PREFIX: ON