Makefile 418 B

1234567891011121314151617181920212223
  1. DESIGN_NAME = de2_70
  2. QUARTUS_OPTIONS =
  3. all: asm
  4. project: $(TCL_FILE)
  5. quartus_sh $(QUARTUS_OPTIONS) -t $(DESIGN_NAME).tcl
  6. map: project
  7. quartus_map $(QUARTUS_OPTIONS) $(DESIGN_NAME)
  8. fit: map
  9. quartus_fit $(QUARTUS_OPTIONS) $(DESIGN_NAME)
  10. asm: fit
  11. quartus_asm $(QUARTUS_OPTIONS) $(DESIGN_NAME)
  12. sta: asm
  13. quartus_sta $(QUARTUS_OPTIONS) $(DESIGN_NAME)
  14. load: asm
  15. quartus_pgm --mode=jtag -o p\;$(DESIGN_NAME).sof