de0.tcl 35 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628
  1. # Copyright (C) 1991-2013 Altera Corporation
  2. # Your use of Altera Corporation's design tools, logic functions
  3. # and other software and tools, and its AMPP partner logic
  4. # functions, and any output files from any of the foregoing
  5. # (including device programming or simulation files), and any
  6. # associated documentation or information are expressly subject
  7. # to the terms and conditions of the Altera Program License
  8. # Subscription Agreement, Altera MegaCore Function License
  9. # Agreement, or other applicable license agreement, including,
  10. # without limitation, that your use is for the sole purpose of
  11. # programming logic devices manufactured by Altera and sold by
  12. # Altera or its authorized distributors. Please refer to the
  13. # applicable agreement for further details.
  14. # Quartus II: Generate Tcl File for Project
  15. # File: de0_nano.tcl
  16. # Generated on: Sat Sep 6 02:27:17 2014
  17. # Load Quartus II Tcl Project package
  18. package require ::quartus::project
  19. set need_to_close_project 0
  20. set make_assignments 1
  21. # Check that the right project is open
  22. if {[is_project_open]} {
  23. if {[string compare $quartus(project) "de0"]} {
  24. puts "Project de0 is not open"
  25. set make_assignments 0
  26. }
  27. } else {
  28. # Only open if not already open
  29. if {[project_exists de0]} {
  30. project_open -revision de0 de0
  31. } else {
  32. project_new -revision de0 de0
  33. }
  34. set need_to_close_project 1
  35. }
  36. # Make assignments
  37. if {$make_assignments} {
  38. # Define the FPGA Type and other parameters
  39. set_global_assignment -name FAMILY "Cyclone III"
  40. set_global_assignment -name DEVICE EP3C16F484C6
  41. set_global_assignment -name TOP_LEVEL_ENTITY top_level
  42. set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.0
  43. set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:15:50 DECEMBER 10, 2014"
  44. set_global_assignment -name LAST_QUARTUS_VERSION 13.0
  45. set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
  46. set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
  47. set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
  48. set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
  49. set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6
  50. set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
  51. set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
  52. set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
  53. set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
  54. set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
  55. set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
  56. set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
  57. set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
  58. set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
  59. # VHDL Files
  60. set_global_assignment -name VHDL_FILE ../../vhdl/board/de0/DE0_SEG7.vhd
  61. set_global_assignment -name VHDL_FILE ../../vhdl/uart_interface.vhd
  62. set_global_assignment -name VHDL_FILE ../../vhdl/uart.vhd
  63. set_global_assignment -name VHDL_FILE ../../vhdl/board/de0/top_level_de0.vhd
  64. set_global_assignment -name VHDL_FILE ../../vhdl/timer.vhd
  65. set_global_assignment -name VHDL_FILE ../../vhdl/T80se.vhd
  66. set_global_assignment -name VHDL_FILE ../../vhdl/T80_Reg.vhd
  67. set_global_assignment -name VHDL_FILE ../../vhdl/T80_Pack.vhd
  68. set_global_assignment -name VHDL_FILE ../../vhdl/T80_MCode.vhd
  69. set_global_assignment -name VHDL_FILE ../../vhdl/T80_ALU.vhd
  70. set_global_assignment -name VHDL_FILE ../../vhdl/T80.vhd
  71. set_global_assignment -name VHDL_FILE ../../vhdl/SSRAM.vhd
  72. set_global_assignment -name VHDL_FILE ../../vhdl/board/de0/SDRAM_Controller.vhd
  73. set_global_assignment -name VHDL_FILE ../../vhdl/board/de0/pll_de0.vhd
  74. set_global_assignment -name VHDL_FILE ../../vhdl/MonZ80.vhd
  75. set_global_assignment -name VHDL_FILE ../../vhdl/MMU.vhd
  76. set_global_assignment -name VHDL_FILE ../../vhdl/gpio.vhd
  77. set_global_assignment -name VHDL_FILE ../../vhdl/fifo.vhd
  78. set_global_assignment -name VHDL_FILE ../../vhdl/DRAM.vhd
  79. set_global_assignment -name VHDL_FILE ../../vhdl/spimaster.vhd
  80. set_global_assignment -name VHDL_FILE ../../vhdl/clkscale.vhd
  81. set_global_assignment -name VHDL_FILE ../../vhdl/Z80cpu.vhd
  82. set_global_assignment -name VHDL_FILE ../../vhdl/RAM4K36.vhd
  83. set_global_assignment -name VHDL_FILE ../../vhdl/RAM4K9.vhd
  84. set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
  85. # Assign Port to IOs
  86. set_location_assignment PIN_B1 -to LEDG[9]
  87. set_location_assignment PIN_B2 -to LEDG[8]
  88. set_location_assignment PIN_C2 -to LEDG[7]
  89. set_location_assignment PIN_C1 -to LEDG[6]
  90. set_location_assignment PIN_E1 -to LEDG[5]
  91. set_location_assignment PIN_F2 -to LEDG[4]
  92. set_location_assignment PIN_H1 -to LEDG[3]
  93. set_location_assignment PIN_J3 -to LEDG[2]
  94. set_location_assignment PIN_J2 -to LEDG[1]
  95. set_location_assignment PIN_J1 -to LEDG[0]
  96. set_location_assignment PIN_D2 -to SW[9]
  97. set_location_assignment PIN_E4 -to SW[8]
  98. set_location_assignment PIN_E3 -to SW[7]
  99. set_location_assignment PIN_H7 -to SW[6]
  100. set_location_assignment PIN_J7 -to SW[5]
  101. set_location_assignment PIN_G5 -to SW[4]
  102. set_location_assignment PIN_G4 -to SW[3]
  103. set_location_assignment PIN_H6 -to SW[2]
  104. set_location_assignment PIN_H5 -to SW[1]
  105. set_location_assignment PIN_J6 -to SW[0]
  106. set_location_assignment PIN_F1 -to BUTTON[2]
  107. set_location_assignment PIN_G3 -to BUTTON[1]
  108. set_location_assignment PIN_H2 -to BUTTON[0]
  109. set_location_assignment PIN_R2 -to FL_ADDR[21]
  110. set_location_assignment PIN_P3 -to FL_ADDR[20]
  111. set_location_assignment PIN_P1 -to FL_ADDR[19]
  112. set_location_assignment PIN_M6 -to FL_ADDR[18]
  113. set_location_assignment PIN_M5 -to FL_ADDR[17]
  114. set_location_assignment PIN_AA2 -to FL_ADDR[16]
  115. set_location_assignment PIN_L6 -to FL_ADDR[15]
  116. set_location_assignment PIN_L7 -to FL_ADDR[14]
  117. set_location_assignment PIN_M1 -to FL_ADDR[13]
  118. set_location_assignment PIN_M2 -to FL_ADDR[12]
  119. set_location_assignment PIN_M3 -to FL_ADDR[11]
  120. set_location_assignment PIN_N1 -to FL_ADDR[10]
  121. set_location_assignment PIN_N2 -to FL_ADDR[9]
  122. set_location_assignment PIN_P2 -to FL_ADDR[8]
  123. set_location_assignment PIN_M4 -to FL_ADDR[7]
  124. set_location_assignment PIN_M8 -to FL_ADDR[6]
  125. set_location_assignment PIN_N6 -to FL_ADDR[5]
  126. set_location_assignment PIN_N5 -to FL_ADDR[4]
  127. set_location_assignment PIN_N7 -to FL_ADDR[3]
  128. set_location_assignment PIN_P6 -to FL_ADDR[2]
  129. set_location_assignment PIN_P5 -to FL_ADDR[1]
  130. set_location_assignment PIN_P7 -to FL_ADDR[0]
  131. set_location_assignment PIN_AA1 -to FL_BYTE_N
  132. set_location_assignment PIN_N8 -to FL_CE_N
  133. set_location_assignment PIN_R7 -to FL_DQ[0]
  134. set_location_assignment PIN_P8 -to FL_DQ[1]
  135. set_location_assignment PIN_R8 -to FL_DQ[2]
  136. set_location_assignment PIN_U1 -to FL_DQ[3]
  137. set_location_assignment PIN_V2 -to FL_DQ[4]
  138. set_location_assignment PIN_V3 -to FL_DQ[5]
  139. set_location_assignment PIN_W1 -to FL_DQ[6]
  140. set_location_assignment PIN_Y1 -to FL_DQ[7]
  141. set_location_assignment PIN_T5 -to FL_DQ[8]
  142. set_location_assignment PIN_T7 -to FL_DQ[9]
  143. set_location_assignment PIN_T4 -to FL_DQ[10]
  144. set_location_assignment PIN_U2 -to FL_DQ[11]
  145. set_location_assignment PIN_V1 -to FL_DQ[12]
  146. set_location_assignment PIN_V4 -to FL_DQ[13]
  147. set_location_assignment PIN_W2 -to FL_DQ[14]
  148. set_location_assignment PIN_R6 -to FL_OE_N
  149. set_location_assignment PIN_R1 -to FL_RST_N
  150. set_location_assignment PIN_M7 -to FL_RY
  151. set_location_assignment PIN_P4 -to FL_WE_N
  152. set_location_assignment PIN_T3 -to FL_WP_N
  153. set_location_assignment PIN_Y2 -to FL_DQ15_AM1
  154. set_location_assignment PIN_U7 -to GPIO0_D[31]
  155. set_location_assignment PIN_V5 -to GPIO0_D[30]
  156. set_location_assignment PIN_W6 -to GPIO0_D[29]
  157. set_location_assignment PIN_W7 -to GPIO0_D[28]
  158. set_location_assignment PIN_V8 -to GPIO0_D[27]
  159. set_location_assignment PIN_T8 -to GPIO0_D[26]
  160. set_location_assignment PIN_W10 -to GPIO0_D[25]
  161. set_location_assignment PIN_Y10 -to GPIO0_D[24]
  162. set_location_assignment PIN_V11 -to GPIO0_D[23]
  163. set_location_assignment PIN_R10 -to GPIO0_D[22]
  164. set_location_assignment PIN_V12 -to GPIO0_D[21]
  165. set_location_assignment PIN_U13 -to GPIO0_D[20]
  166. set_location_assignment PIN_W13 -to GPIO0_D[19]
  167. set_location_assignment PIN_Y13 -to GPIO0_D[18]
  168. set_location_assignment PIN_U14 -to GPIO0_D[17]
  169. set_location_assignment PIN_V14 -to GPIO0_D[16]
  170. set_location_assignment PIN_AA4 -to GPIO0_D[15]
  171. set_location_assignment PIN_AB4 -to GPIO0_D[14]
  172. set_location_assignment PIN_AA5 -to GPIO0_D[13]
  173. set_location_assignment PIN_AB5 -to GPIO0_D[12]
  174. set_location_assignment PIN_AA8 -to GPIO0_D[11]
  175. set_location_assignment PIN_AB8 -to GPIO0_D[10]
  176. set_location_assignment PIN_AA10 -to GPIO0_D[9]
  177. set_location_assignment PIN_AB10 -to GPIO0_D[8]
  178. set_location_assignment PIN_AA13 -to GPIO0_D[7]
  179. set_location_assignment PIN_AB13 -to GPIO0_D[6]
  180. set_location_assignment PIN_AB14 -to GPIO0_D[5]
  181. set_location_assignment PIN_AA14 -to GPIO0_D[4]
  182. set_location_assignment PIN_AB15 -to GPIO0_D[3]
  183. set_location_assignment PIN_AA15 -to GPIO0_D[2]
  184. set_location_assignment PIN_AA16 -to GPIO0_D[1]
  185. set_location_assignment PIN_AB16 -to GPIO0_D[0]
  186. set_location_assignment PIN_AB12 -to GPIO0_CLKIN[0]
  187. set_location_assignment PIN_AA12 -to GPIO0_CLKIN[1]
  188. set_location_assignment PIN_AB3 -to GPIO0_CLKOUT[0]
  189. set_location_assignment PIN_AA3 -to GPIO0_CLKOUT[1]
  190. set_location_assignment PIN_AA11 -to GPIO1_CLKIN[1]
  191. set_location_assignment PIN_AB11 -to GPIO1_CLKIN[0]
  192. set_location_assignment PIN_T16 -to GPIO1_CLKOUT[1]
  193. set_location_assignment PIN_R16 -to GPIO1_CLKOUT[0]
  194. set_location_assignment PIN_V7 -to GPIO1_D[31]
  195. set_location_assignment PIN_V6 -to GPIO1_D[30]
  196. set_location_assignment PIN_U8 -to GPIO1_D[29]
  197. set_location_assignment PIN_Y7 -to GPIO1_D[28]
  198. set_location_assignment PIN_T9 -to GPIO1_D[27]
  199. set_location_assignment PIN_U9 -to GPIO1_D[26]
  200. set_location_assignment PIN_T10 -to GPIO1_D[25]
  201. set_location_assignment PIN_U10 -to GPIO1_D[24]
  202. set_location_assignment PIN_R12 -to GPIO1_D[23]
  203. set_location_assignment PIN_R11 -to GPIO1_D[22]
  204. set_location_assignment PIN_T12 -to GPIO1_D[21]
  205. set_location_assignment PIN_U12 -to GPIO1_D[20]
  206. set_location_assignment PIN_R14 -to GPIO1_D[19]
  207. set_location_assignment PIN_T14 -to GPIO1_D[18]
  208. set_location_assignment PIN_AB7 -to GPIO1_D[17]
  209. set_location_assignment PIN_AA7 -to GPIO1_D[16]
  210. set_location_assignment PIN_AA9 -to GPIO1_D[15]
  211. set_location_assignment PIN_AB9 -to GPIO1_D[14]
  212. set_location_assignment PIN_V15 -to GPIO1_D[13]
  213. set_location_assignment PIN_W15 -to GPIO1_D[12]
  214. set_location_assignment PIN_T15 -to GPIO1_D[11]
  215. set_location_assignment PIN_U15 -to GPIO1_D[10]
  216. set_location_assignment PIN_W17 -to GPIO1_D[9]
  217. set_location_assignment PIN_Y17 -to GPIO1_D[8]
  218. set_location_assignment PIN_AB17 -to GPIO1_D[7]
  219. set_location_assignment PIN_AA17 -to GPIO1_D[6]
  220. set_location_assignment PIN_AA18 -to GPIO1_D[5]
  221. set_location_assignment PIN_AB18 -to GPIO1_D[4]
  222. set_location_assignment PIN_AB19 -to GPIO1_D[3]
  223. set_location_assignment PIN_AA19 -to GPIO1_D[2]
  224. set_location_assignment PIN_AB20 -to GPIO1_D[1]
  225. set_location_assignment PIN_AA20 -to GPIO1_D[0]
  226. set_location_assignment PIN_P22 -to PS2_KBCLK
  227. set_location_assignment PIN_P21 -to PS2_KBDAT
  228. set_location_assignment PIN_R21 -to PS2_MSCLK
  229. set_location_assignment PIN_R22 -to PS2_MSDAT
  230. set_location_assignment PIN_U22 -to UART_RXD
  231. set_location_assignment PIN_U21 -to UART_TXD
  232. set_location_assignment PIN_V22 -to UART_RTS
  233. set_location_assignment PIN_V21 -to UART_CTS
  234. set_location_assignment PIN_Y21 -to SD_CLK
  235. set_location_assignment PIN_Y22 -to SD_CMD
  236. set_location_assignment PIN_AA22 -to SD_DAT0
  237. set_location_assignment PIN_W21 -to SD_DAT3
  238. set_location_assignment PIN_W20 -to SD_WP_N
  239. set_location_assignment PIN_C20 -to LCD_DATA[7]
  240. set_location_assignment PIN_D20 -to LCD_DATA[6]
  241. set_location_assignment PIN_B21 -to LCD_DATA[5]
  242. set_location_assignment PIN_B22 -to LCD_DATA[4]
  243. set_location_assignment PIN_C21 -to LCD_DATA[3]
  244. set_location_assignment PIN_C22 -to LCD_DATA[2]
  245. set_location_assignment PIN_D21 -to LCD_DATA[1]
  246. set_location_assignment PIN_D22 -to LCD_DATA[0]
  247. set_location_assignment PIN_E22 -to LCD_RW
  248. set_location_assignment PIN_F22 -to LCD_RS
  249. set_location_assignment PIN_E21 -to LCD_EN
  250. set_location_assignment PIN_F21 -to LCD_BLON
  251. set_location_assignment PIN_J21 -to VGA_G[3]
  252. set_location_assignment PIN_K17 -to VGA_G[2]
  253. set_location_assignment PIN_J17 -to VGA_G[1]
  254. set_location_assignment PIN_H22 -to VGA_G[0]
  255. set_location_assignment PIN_L21 -to VGA_HS
  256. set_location_assignment PIN_L22 -to VGA_VS
  257. set_location_assignment PIN_H21 -to VGA_R[3]
  258. set_location_assignment PIN_H20 -to VGA_R[2]
  259. set_location_assignment PIN_H17 -to VGA_R[1]
  260. set_location_assignment PIN_H19 -to VGA_R[0]
  261. set_location_assignment PIN_K18 -to VGA_B[3]
  262. set_location_assignment PIN_J22 -to VGA_B[2]
  263. set_location_assignment PIN_K21 -to VGA_B[1]
  264. set_location_assignment PIN_K22 -to VGA_B[0]
  265. set_location_assignment PIN_G21 -to CLOCK_50
  266. set_location_assignment PIN_E11 -to HEX0_D[0]
  267. set_location_assignment PIN_F11 -to HEX0_D[1]
  268. set_location_assignment PIN_H12 -to HEX0_D[2]
  269. set_location_assignment PIN_H13 -to HEX0_D[3]
  270. set_location_assignment PIN_G12 -to HEX0_D[4]
  271. set_location_assignment PIN_F12 -to HEX0_D[5]
  272. set_location_assignment PIN_F13 -to HEX0_D[6]
  273. set_location_assignment PIN_D13 -to HEX0_DP
  274. set_location_assignment PIN_A15 -to HEX1_D[6]
  275. set_location_assignment PIN_E14 -to HEX1_D[5]
  276. set_location_assignment PIN_B14 -to HEX1_D[4]
  277. set_location_assignment PIN_A14 -to HEX1_D[3]
  278. set_location_assignment PIN_C13 -to HEX1_D[2]
  279. set_location_assignment PIN_B13 -to HEX1_D[1]
  280. set_location_assignment PIN_A13 -to HEX1_D[0]
  281. set_location_assignment PIN_B15 -to HEX1_DP
  282. set_location_assignment PIN_F14 -to HEX2_D[6]
  283. set_location_assignment PIN_B17 -to HEX2_D[5]
  284. set_location_assignment PIN_A17 -to HEX2_D[4]
  285. set_location_assignment PIN_E15 -to HEX2_D[3]
  286. set_location_assignment PIN_B16 -to HEX2_D[2]
  287. set_location_assignment PIN_A16 -to HEX2_D[1]
  288. set_location_assignment PIN_D15 -to HEX2_D[0]
  289. set_location_assignment PIN_A18 -to HEX2_DP
  290. set_location_assignment PIN_G15 -to HEX3_D[6]
  291. set_location_assignment PIN_D19 -to HEX3_D[5]
  292. set_location_assignment PIN_C19 -to HEX3_D[4]
  293. set_location_assignment PIN_B19 -to HEX3_D[3]
  294. set_location_assignment PIN_A19 -to HEX3_D[2]
  295. set_location_assignment PIN_F15 -to HEX3_D[1]
  296. set_location_assignment PIN_B18 -to HEX3_D[0]
  297. set_location_assignment PIN_G16 -to HEX3_DP
  298. set_location_assignment PIN_G8 -to DRAM_CAS_N
  299. set_location_assignment PIN_G7 -to DRAM_CS_N
  300. set_location_assignment PIN_E5 -to DRAM_CLK
  301. set_location_assignment PIN_E6 -to DRAM_CKE
  302. set_location_assignment PIN_B5 -to DRAM_BA_0
  303. set_location_assignment PIN_A4 -to DRAM_BA_1
  304. set_location_assignment PIN_F10 -to DRAM_DQ[15]
  305. set_location_assignment PIN_E10 -to DRAM_DQ[14]
  306. set_location_assignment PIN_A10 -to DRAM_DQ[13]
  307. set_location_assignment PIN_B10 -to DRAM_DQ[12]
  308. set_location_assignment PIN_C10 -to DRAM_DQ[11]
  309. set_location_assignment PIN_A9 -to DRAM_DQ[10]
  310. set_location_assignment PIN_B9 -to DRAM_DQ[9]
  311. set_location_assignment PIN_A8 -to DRAM_DQ[8]
  312. set_location_assignment PIN_F8 -to DRAM_DQ[7]
  313. set_location_assignment PIN_H9 -to DRAM_DQ[6]
  314. set_location_assignment PIN_G9 -to DRAM_DQ[5]
  315. set_location_assignment PIN_F9 -to DRAM_DQ[4]
  316. set_location_assignment PIN_E9 -to DRAM_DQ[3]
  317. set_location_assignment PIN_H10 -to DRAM_DQ[2]
  318. set_location_assignment PIN_G10 -to DRAM_DQ[1]
  319. set_location_assignment PIN_D10 -to DRAM_DQ[0]
  320. set_location_assignment PIN_E7 -to DRAM_LDQM
  321. set_location_assignment PIN_B8 -to DRAM_UDQM
  322. set_location_assignment PIN_F7 -to DRAM_RAS_N
  323. set_location_assignment PIN_D6 -to DRAM_WE_N
  324. set_location_assignment PIN_B12 -to CLOCK_50_2
  325. set_location_assignment PIN_C8 -to DRAM_ADDR[12]
  326. set_location_assignment PIN_A7 -to DRAM_ADDR[11]
  327. set_location_assignment PIN_B4 -to DRAM_ADDR[10]
  328. set_location_assignment PIN_B7 -to DRAM_ADDR[9]
  329. set_location_assignment PIN_C7 -to DRAM_ADDR[8]
  330. set_location_assignment PIN_A6 -to DRAM_ADDR[7]
  331. set_location_assignment PIN_B6 -to DRAM_ADDR[6]
  332. set_location_assignment PIN_C6 -to DRAM_ADDR[5]
  333. set_location_assignment PIN_A5 -to DRAM_ADDR[4]
  334. set_location_assignment PIN_C3 -to DRAM_ADDR[3]
  335. set_location_assignment PIN_B3 -to DRAM_ADDR[2]
  336. set_location_assignment PIN_A3 -to DRAM_ADDR[1]
  337. set_location_assignment PIN_C4 -to DRAM_ADDR[0]
  338. # Set IO types
  339. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to BUTTON[2]
  340. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to BUTTON[1]
  341. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to BUTTON[0]
  342. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50_2
  343. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
  344. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_CE_N
  345. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_BYTE_N
  346. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[0]
  347. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[1]
  348. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[2]
  349. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[3]
  350. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[4]
  351. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[5]
  352. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[6]
  353. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[7]
  354. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[8]
  355. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[9]
  356. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[10]
  357. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[11]
  358. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[12]
  359. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[13]
  360. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[14]
  361. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[15]
  362. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[16]
  363. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[17]
  364. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[18]
  365. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[19]
  366. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[20]
  367. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[21]
  368. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[28]
  369. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[29]
  370. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[30]
  371. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[31]
  372. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKOUT[0]
  373. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKOUT[1]
  374. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKIN[0]
  375. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKIN[1]
  376. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[0]
  377. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[1]
  378. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[2]
  379. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[3]
  380. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[4]
  381. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[5]
  382. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[6]
  383. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[7]
  384. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[8]
  385. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[9]
  386. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[10]
  387. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[11]
  388. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[12]
  389. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[13]
  390. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[14]
  391. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[15]
  392. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[16]
  393. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[17]
  394. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[18]
  395. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[19]
  396. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[20]
  397. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[21]
  398. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[22]
  399. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[23]
  400. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[24]
  401. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[25]
  402. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[26]
  403. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[27]
  404. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[28]
  405. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[29]
  406. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[30]
  407. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[31]
  408. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKOUT[0]
  409. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKOUT[1]
  410. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKIN[0]
  411. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKIN[1]
  412. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WP_N
  413. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WE_N
  414. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RY
  415. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RST_N
  416. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_OE_N
  417. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ15_AM1
  418. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[0]
  419. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[1]
  420. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[2]
  421. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[3]
  422. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[4]
  423. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[5]
  424. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[6]
  425. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[7]
  426. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[8]
  427. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[9]
  428. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[10]
  429. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[11]
  430. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[12]
  431. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[13]
  432. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[14]
  433. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[2]
  434. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[3]
  435. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[4]
  436. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[5]
  437. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[6]
  438. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[0]
  439. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[1]
  440. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[2]
  441. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[3]
  442. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[4]
  443. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[5]
  444. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[6]
  445. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[7]
  446. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[8]
  447. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[9]
  448. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[10]
  449. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[11]
  450. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[12]
  451. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[13]
  452. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[14]
  453. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[15]
  454. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[16]
  455. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[17]
  456. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[18]
  457. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[19]
  458. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[20]
  459. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[21]
  460. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[22]
  461. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[23]
  462. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[24]
  463. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[25]
  464. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[26]
  465. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[27]
  466. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[3]
  467. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[4]
  468. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[5]
  469. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[6]
  470. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[7]
  471. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_BLON
  472. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_DP
  473. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[0]
  474. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[1]
  475. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[2]
  476. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[3]
  477. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[4]
  478. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[5]
  479. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[6]
  480. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_DP
  481. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[0]
  482. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[1]
  483. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[2]
  484. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[3]
  485. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[4]
  486. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[5]
  487. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[6]
  488. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_DP
  489. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[0]
  490. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[1]
  491. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[2]
  492. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[3]
  493. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[4]
  494. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[5]
  495. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[6]
  496. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_DP
  497. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[0]
  498. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[1]
  499. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_CTS
  500. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
  501. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
  502. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
  503. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
  504. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4]
  505. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5]
  506. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6]
  507. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7]
  508. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8]
  509. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9]
  510. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N
  511. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT3
  512. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT0
  513. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD
  514. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK
  515. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_MSDAT
  516. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_MSCLK
  517. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_KBDAT
  518. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_KBCLK
  519. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[0]
  520. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[1]
  521. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[2]
  522. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[3]
  523. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[4]
  524. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[5]
  525. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[6]
  526. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[7]
  527. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[8]
  528. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[9]
  529. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RW
  530. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RS
  531. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_EN
  532. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[0]
  533. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[1]
  534. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[2]
  535. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS
  536. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
  537. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
  538. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
  539. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
  540. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
  541. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
  542. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
  543. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
  544. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
  545. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
  546. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
  547. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
  548. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
  549. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD
  550. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD
  551. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RTS
  552. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
  553. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
  554. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
  555. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
  556. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
  557. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
  558. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
  559. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
  560. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
  561. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
  562. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
  563. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
  564. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
  565. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
  566. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
  567. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
  568. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
  569. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
  570. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA_1
  571. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA_0
  572. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
  573. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
  574. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
  575. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
  576. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
  577. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
  578. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
  579. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
  580. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
  581. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
  582. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
  583. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
  584. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
  585. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
  586. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM
  587. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
  588. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM
  589. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
  590. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
  591. # Set some specific information to some internal signals and IOs
  592. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to "DRAM:dram|SDRAM_Controller:sdram_ctrl|iob_command"
  593. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to "DRAM:dram|SDRAM_Controller:sdram_ctrl|iob_address"
  594. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to "DRAM:dram|SDRAM_Controller:sdram_ctrl|iob_dqm"
  595. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to "DRAM:dram|SDRAM_Controller:sdram_ctrl|iob_cke"
  596. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to "DRAM:dram|SDRAM_Controller:sdram_ctrl|iob_bank"
  597. set_instance_assignment -name FAST_INPUT_REGISTER ON -to "DRAM:dram|SDRAM_Controller:sdram_ctrl|iob_data"
  598. set_instance_assignment -name FAST_INPUT_REGISTER ON -to "DRAM:dram|SDRAM_Controller:sdram_ctrl|captured_data"
  599. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_ADDR
  600. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_BA
  601. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_CKE
  602. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_CLK
  603. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_CS
  604. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQM
  605. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nCAS
  606. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nRAS
  607. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nWE
  608. set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ
  609. set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ
  610. # Commit assignments
  611. export_assignments
  612. # Close project
  613. if {$need_to_close_project} {
  614. project_close
  615. }
  616. }