Browse Source

tweak regdump stuff

David Voswinkel 15 years ago
parent
commit
fd1e5d890a
4 changed files with 35 additions and 6 deletions
  1. 1 1
      scripts/query_romsize.py
  2. 1 1
      snes/regdump/debug.c
  3. 30 1
      snes/regdump/main.c
  4. 3 3
      snesram.tmproj

+ 1 - 1
scripts/query_romsize.py

@@ -21,7 +21,7 @@ import paramiko
 
 from subprocess import Popen
 
-paramiko.util.log_to_file('demo_sftp.log')
+paramiko.util.log_to_file('rom_sftp.log')
 
 if os.name == 'posix':
     path = "/home/david/Devel/arch/avr/code/snesram/roms/"

+ 1 - 1
snes/regdump/debug.c

@@ -66,7 +66,7 @@ void debug_enable(void)
 void clears(void)
 {
     word i, y;
-    for (y = 0; y < 20; y++) {
+    for (y = 0; y < 28; y++) {
         waitForVBlank();
         for (i = 0; i < 32; i++) {
             *(byte *) 0x2115 = 0x80;

+ 30 - 1
snes/regdump/main.c

@@ -24,9 +24,20 @@ void preInit(void) {
 	// Insert code here to be executed before register init
 }
 
+
+void wait(void)
+{
+    enablePad();
+    pad1 = readPad((byte) 0);
+    while (!pad1.A) {
+        waitForVBlank();
+        pad1 = readPad((byte) 0);
+    }
+}
+
+
 void main(void) {
 	word x,y;
-	padStatus pad1;
     unsigned long addr; 
     
     initInternalRegisters();
@@ -41,6 +52,9 @@ void main(void) {
     addr = 0x21400;
     x = 0;
     y = 0;
+    //printfs(26,"PRESS A");
+    //wait();
+    //clears();
     for (addr = 0x2100 ; addr < 0x21C0; addr+=8){
     	waitForVBlank();
         printfs(y,"%lX: %02X %02X %02X %02X %02X %02X %02X %02X",addr,
@@ -48,6 +62,21 @@ void main(void) {
         *(byte *) (addr+4),*(byte *) (addr +5),*(byte *) (addr+6),*(byte *) (addr+7));
         y++;
     }
+    while(1);
+    printfs(26,"PRESS A");
+    //wait();
+    clears();
+    y = 0;
+    for (addr = 0x4100 ; addr < 0x41C0; addr+=8){
+    	waitForVBlank();
+        printfs(y,"%lX: %02X %02X %02X %02X %02X %02X %02X %02X",addr,
+        *(byte *) addr,*(byte *) (addr +1),*(byte *) (addr+2),*(byte *) (addr+3),
+        *(byte *) (addr+4),*(byte *) (addr +5),*(byte *) (addr+6),*(byte *) (addr+7));
+        y++;
+    }
+    printfs(26,"PRESS A");
+    wait();
+    clears();
     
     
 

+ 3 - 3
snesram.tmproj

@@ -182,14 +182,14 @@
 			<key>caret</key>
 			<dict>
 				<key>column</key>
-				<integer>23</integer>
+				<integer>4</integer>
 				<key>line</key>
-				<integer>195</integer>
+				<integer>314</integer>
 			</dict>
 			<key>firstVisibleColumn</key>
 			<integer>0</integer>
 			<key>firstVisibleLine</key>
-			<integer>176</integer>
+			<integer>298</integer>
 		</dict>
 		<key>avr/usbload/sram.c</key>
 		<dict>