WonderMadeleine.qsf 9.4 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175
  1. # -------------------------------------------------------------------------- #
  2. #
  3. # Copyright (C) 1991-2013 Altera Corporation
  4. # Your use of Altera Corporation's design tools, logic functions
  5. # and other software and tools, and its AMPP partner logic
  6. # functions, and any output files from any of the foregoing
  7. # (including device programming or simulation files), and any
  8. # associated documentation or information are expressly subject
  9. # to the terms and conditions of the Altera Program License
  10. # Subscription Agreement, Altera MegaCore Function License
  11. # Agreement, or other applicable license agreement, including,
  12. # without limitation, that your use is for the sole purpose of
  13. # programming logic devices manufactured by Altera and sold by
  14. # Altera or its authorized distributors. Please refer to the
  15. # applicable agreement for further details.
  16. #
  17. # -------------------------------------------------------------------------- #
  18. #
  19. # Quartus II 32-bit
  20. # Version 13.0.0 Build 156 04/24/2013 SJ Web Edition
  21. # Date created = 09:43:30 October 28, 2014
  22. #
  23. # -------------------------------------------------------------------------- #
  24. #
  25. # Notes:
  26. #
  27. # 1) The default values for assignments are stored in the file:
  28. # WonderMadeleine_assignment_defaults.qdf
  29. # If this file doesn't exist, see file:
  30. # assignment_defaults.qdf
  31. #
  32. # 2) Altera recommends that you do not modify this file. This
  33. # file is updated automatically by the Quartus II software
  34. # and any changes you make may be lost or overwritten.
  35. #
  36. # -------------------------------------------------------------------------- #
  37. set_global_assignment -name FAMILY "MAX II"
  38. set_global_assignment -name DEVICE EPM240T100C3
  39. set_global_assignment -name TOP_LEVEL_ENTITY WonderMadeleine
  40. set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.0
  41. set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:43:30 OCTOBER 28, 2014"
  42. set_global_assignment -name LAST_QUARTUS_VERSION 13.0
  43. set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
  44. set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
  45. set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
  46. set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
  47. set_global_assignment -name VHDL_FILE WonderMadeleine.vhdset_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
  48. set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
  49. set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
  50. set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100
  51. set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
  52. set_global_assignment -name USE_CONFIGURATION_DEVICE ON
  53. set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
  54. set_location_assignment PIN_12 -to SYS_CLK
  55. set_location_assignment PIN_69 -to RTC_DATA
  56. set_location_assignment PIN_7 -to nMBC
  57. set_location_assignment PIN_6 -to nWR
  58. set_location_assignment PIN_5 -to nRD
  59. set_location_assignment PIN_4 -to nIO
  60. set_location_assignment PIN_3 -to nSEL
  61. set_location_assignment PIN_2 -to nRESET
  62. set_location_assignment PIN_8 -to nINT
  63. set_location_assignment PIN_90 -to D_BUS[0]
  64. set_location_assignment PIN_89 -to D_BUS[1]
  65. set_location_assignment PIN_88 -to D_BUS[2]
  66. set_location_assignment PIN_87 -to D_BUS[3]
  67. set_location_assignment PIN_86 -to D_BUS[4]
  68. set_location_assignment PIN_85 -to D_BUS[5]
  69. set_location_assignment PIN_84 -to D_BUS[6]
  70. set_location_assignment PIN_83 -to D_BUS[7]
  71. set_location_assignment PIN_82 -to nROM_CS
  72. set_location_assignment PIN_81 -to nSRAM_CS
  73. set_location_assignment PIN_78 -to EXT_A[0]
  74. set_location_assignment PIN_77 -to EXT_A[1]
  75. set_location_assignment PIN_76 -to EXT_A[2]
  76. set_location_assignment PIN_75 -to EXT_A[3]
  77. set_location_assignment PIN_74 -to EXT_A[4]
  78. set_location_assignment PIN_73 -to EXT_A[5]
  79. set_location_assignment PIN_72 -to EXT_A[6]
  80. set_location_assignment PIN_71 -to EXT_A[7]
  81. set_location_assignment PIN_70 -to RTC_CS
  82. set_location_assignment PIN_68 -to RTC_CLK
  83. set_location_assignment PIN_67 -to GPIO[0]
  84. set_location_assignment PIN_66 -to GPIO[1]
  85. set_location_assignment PIN_58 -to EEP_CK
  86. set_location_assignment PIN_57 -to EEP_CS
  87. set_location_assignment PIN_56 -to EEP_DI
  88. set_location_assignment PIN_55 -to EEP_DO
  89. set_location_assignment PIN_15 -to user_reserve_1
  90. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_1
  91. set_location_assignment PIN_16 -to user_reserve_2
  92. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_2
  93. set_location_assignment PIN_17 -to user_reserve_3
  94. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_3
  95. set_location_assignment PIN_18 -to user_reserve_4
  96. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_4
  97. set_location_assignment PIN_19 -to user_reserve_5
  98. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_5
  99. set_location_assignment PIN_20 -to user_reserve_6
  100. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_6
  101. set_location_assignment PIN_21 -to user_reserve_7
  102. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_7
  103. set_location_assignment PIN_26 -to user_reserve_8
  104. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_8
  105. set_location_assignment PIN_27 -to user_reserve_9
  106. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_9
  107. set_location_assignment PIN_29 -to user_reserve_10
  108. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_10
  109. set_location_assignment PIN_28 -to user_reserve_11
  110. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_11
  111. set_location_assignment PIN_30 -to user_reserve_12
  112. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_12
  113. set_location_assignment PIN_33 -to user_reserve_13
  114. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_13
  115. set_location_assignment PIN_34 -to user_reserve_14
  116. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_14
  117. set_location_assignment PIN_35 -to user_reserve_15
  118. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_15
  119. set_location_assignment PIN_36 -to user_reserve_16
  120. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_16
  121. set_location_assignment PIN_37 -to user_reserve_17
  122. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_17
  123. set_location_assignment PIN_38 -to user_reserve_18
  124. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_18
  125. set_location_assignment PIN_39 -to user_reserve_19
  126. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_19
  127. set_location_assignment PIN_40 -to user_reserve_20
  128. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_20
  129. set_location_assignment PIN_41 -to user_reserve_21
  130. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_21
  131. set_location_assignment PIN_42 -to user_reserve_22
  132. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_22
  133. set_location_assignment PIN_47 -to user_reserve_23
  134. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_23
  135. set_location_assignment PIN_48 -to user_reserve_24
  136. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_24
  137. set_location_assignment PIN_49 -to user_reserve_25
  138. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_25
  139. set_location_assignment PIN_50 -to user_reserve_26
  140. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_26
  141. set_location_assignment PIN_51 -to user_reserve_27
  142. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_27
  143. set_location_assignment PIN_52 -to user_reserve_28
  144. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_28
  145. set_location_assignment PIN_53 -to user_reserve_29
  146. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_29
  147. set_location_assignment PIN_54 -to user_reserve_30
  148. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_30
  149. set_location_assignment PIN_62 -to user_reserve_31
  150. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_31
  151. set_location_assignment PIN_64 -to user_reserve_32
  152. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_32
  153. set_location_assignment PIN_14 -to user_reserve_33
  154. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_33
  155. set_location_assignment PIN_43 -to user_reserve_34
  156. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_34
  157. set_location_assignment PIN_44 -to user_reserve_35
  158. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_35
  159. set_location_assignment PIN_1 -to user_reserve_36
  160. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_36
  161. set_location_assignment PIN_61 -to user_reserve_37
  162. set_instance_assignment -name RESERVE_PIN AS_INPUT_TRI_STATED -to user_reserve_37
  163. set_location_assignment PIN_100 -to A_BUS_H[16]
  164. set_location_assignment PIN_99 -to A_BUS_H[17]
  165. set_location_assignment PIN_98 -to A_BUS_H[18]
  166. set_location_assignment PIN_97 -to A_BUS_H[19]
  167. set_location_assignment PIN_96 -to A_BUS_L[3]
  168. set_location_assignment PIN_95 -to A_BUS_L[2]
  169. set_location_assignment PIN_92 -to A_BUS_L[1]
  170. set_location_assignment PIN_91 -to A_BUS_L[0]
  171. set_global_assignment -name VHDL_FILE EEPROM.vhd
  172. set_global_assignment -name VHDL_FILE RTC.vhd
  173. set_global_assignment -name VHDL_FILE GPIO.vhd