readme.md 1.2 KB

The WonderProject: WonderMadeleine

© 2014 986-Studio / Godzil

Website: http://www.986-studio.com e-mail: (remove the underscore nospambot of course)

What this project is about:

This is a VHDL implementation of the Bandai 2001 / 2003 chip found in all official WonderSwan Cartridge. It will ultimately provide a fully functional clone of the Bandai chip.

License

This project is currently licensed under the the Creative Common BY-NC-ND

  • What does that mean:
    • You can use this code to program your own CPLD
    • You can build your own cartridge that use this CPLD (and you can even sell them!)
    • But you can’t program CPLD and sell them directly
    • You are welcome to propose patch for supporting another CPLD or correct bugs
    • You can’t integrate this code with another CPLD of FPGA project

If you have any doubt, please contact me I will be happy to help you

What is currently working: (as of 13 november 2014)

  • - ROM Banking
  • - SRAM Banking
  • - WonderSwan boot unlock
  • - EEPROM
  • - RTC
  • - GPIO
  • - All other unknown parts